文档库 最新最全的文档下载
当前位置:文档库 › 哈工大电工实验自主设计实验报告抢答器-计时器设计电路

哈工大电工实验自主设计实验报告抢答器-计时器设计电路

哈工大电工实验自主设计实验报告抢答器-计时器设计电路
哈工大电工实验自主设计实验报告抢答器-计时器设计电路

姓名班级学号

实验日期节次教师签字成绩

实验名称抢答器-计时器设计电路

1.实验目的

(1)通过实验巩固和加强对书本知识的掌握;

(2)提高自己的创新意识;

(3)培养动手能力和独立设计并解决问题的能力;

(4)利用芯片的多种功能以实现四路抢答的功能、计时功能。

2.总体设计方案或技术路线

4人抢答器:用与非门构成的4人抢答电路,4个数据开关S1-S4由四位抢答者控制,无人抢答时,开关处于0状态,对应的与非门(74LS20)输出均为1,对其余的三个与非门无影响;当其中任意一位抢答者将开关扳向1时,对应的与非门输出为0电平,将其余的3个与非门锁死,令其开关输入1时不起作用,实现锁存功能。再经过一与非门将输出结果通过指示灯显示出来。

1分钟计时电路:本电路由主持人控制,当4人抢答器确定答题选手后主持人按下开关S1A 将计时电路接通,开始计时。本电路由555定时器产生秒脉冲,经放大电路对其输出的电平进行10倍放大,放大器输出电平作为控制计时器秒个位的74LS161的输入脉冲。2个74LS161构成60进制计数器,并连接DCD数码管进行数字显示。时间到达60秒后蜂鸣器报警。

3.实验电路图

图1 4人抢答器

4. 仪器设备名称、型号

2个74LS00;2个74LS20;1个555定时器;1个双集成运算放大器LM358;2个74LS161;

1个74LS08;1个5V 蜂鸣器;2个DCD 数码管

5.理论分析或仿真分析结果

4人抢答器:4人抢答器的主体是74LS20。四名选手通过各自的开关答题,答题信号作为输

入,输入到74ls20的一个端口。其余三个端口由除本身以外的另外三个74LS20的输出端接

入。无人抢答时,开关处于0状态,对应的与非门(74LS20)输出均为1,对其余的三个与

非门无影响;当其中任意一位抢答者将开关扳向1时,对应的与非门输出为0电平,将其余

的3个与非门锁死,令其开关输入1时不起作用,从而实现锁存功能。74LS00的两个输入

端口分别接高电平(或悬空)、接对应74LS20的输出端,将抢答结果以高、低电平的形式输

出,再通过接一个指示灯对抢答结果进行显示。选手按下答题键,来一触发信号触发,对应

输出为“1”,其对应指示灯亮。

1

分钟计时电路:本电路主要有三部分组成:秒脉冲的产生、放大、计时。第一部分是秒脉

图2 1分钟计时电路

冲的产生。当4人抢答电路确定答题选手后开始进行答题时。主持人按下开关S1A,555定时器进行工作,产生秒脉冲,但其产生的秒脉冲高电平仅有0.5V,不足以驱动后续电路,故借助1个双集成运算放大器LM358对555集成电路产生的秒脉冲进行10倍放大,使其输出的高电平达到5V。接着将秒脉冲接入由2个74LS161组成的60进制计数器,计数器个位、十位分别接一个DCD数码管进行数字显示,每来一个秒脉冲,数码管显示的数字进一,到达59后归零并通过蜂鸣器进行报警,然后继续重新计选手超出的时间。

6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)

(1)准备实验所需的元器件;

(2)连线前首先分析并注意连线时可能出现的问题;

(3)根据电路图分模块连线;

(4)检查线路,确认无误后开始测试;

(5)若出现问题,再次检查线路、分析出现问题的原因,直到解决问题,得出预期结果;

(6)整理实验台;

(7)完成实验报告。

7.实验结论

(1)利用74LS20可实现信号的触发输入和信号的锁存功能;

(2)利用555定时器可产生秒脉冲,但其输出的高电平过低不足以驱动其他TTL芯片,故需要进行放大后才能传递至后续电路

(3)利用74LS161可实现60进制的计数功能,从而达到计时的目的

8.实验中出现的问题及解决对策

(1)出现的问题:实验中按设计好的电路连接,但实验室没有需要的元件;电路连接好后,测试时发现功能不能完全实现。实验时实验箱中芯片排列密集,连接好一

部分电路后实验箱就布满了导线,难以继续连接未连接的电路。

(2)解决对策:没有需要的元器件,可以通过其他元件或其他元件的组合来实现,实验室没有非门就可以用信号与“1”信号的与非来实现;电路连接好后未实现预

期功能就分模块对电路进行检查,看看是导线连接有误还是电路设计有误,

结果发现导线连接有误,重新连接后即可实现预期功能。实验箱布满导线

后难以继续进行可以通过两方面来解决,一是实验刚开始时就合理布局。

如果空间实在有限,刻在借助一个实验箱来完成余下的电路连接。

9.本次实验的收获和体会、对电路实验室的意见或建议

(1)收获和体会:这次实验和以往的实验不一样,以前的实验都是我们按照指导书和实验报告一步步来,并且有教学视频作为参考,我们很容易就能完成试验。但这次自主设计性实验从一开始其主动权就掌握在我们自己手里,我们根据自己所学的东西,挑自己感兴趣的一个方面来进行电路的设计。实验前期我们都用电路设计软件进行电路的设计和仿真,虽然自己已开始设计的电路很不完整,更尴尬的是仿真软件都无从下手,但经过自己的一步步摸索后,终于慢慢的开始对他有一定的了解。我通过和同学的交流,慢慢地去完善自己的电路,有什么新想法也想很快加进去。实验前期我们设计了很多种自己感兴趣的电路,感觉这个过程非常有意思,让我们能真真正正地投入到电路设计中去,进一步去巩固自己学过的知识。而且,在整个实验过程中,自己虽然遇到了很多的困难,但最终通过自己的努力一个个去解决了,这让我们在今后的学习生活中能更加坚持,去解决那些我们认为很难的东西。另外,这次实验也让我更加懂得自学的重要性,因为需要进行电路仿真,所以我们也是自己去学习multisim12.0,现在基本能够熟练的使用它来完成所需完成的任务。

(2)对实验室的建议:我们学校的实验室相比其他学校应该是很完善了,但是仍然存在几点不足,一是元器件种类不足,建议实验室能多采购些学生在设计性实验中容易用到的元器件;二是实验时学生太多,老师有些忙不过来,建议实验室在自主设计性实验高峰期多安排一些助教;三是实验室实验箱配备的导线不足,实验期间很多同学都遇到这样的问题,建议实验室对每台实验箱稍微多配一些导线;最后就是实验箱有些接触不良,建议实验室进行定期检查。

10.参考文献

[1] 杨世彦.电工学(中册)电子技术.北京:机械工业出版社,2008:5.

[2] 王宇红.电工学实验教程.北京:机械工业出版社,2013:6.

[3]肖景和.数字集成电路应用精粹.北京:人民邮电出版社,2002:6.

集成电路设计实验报告

集成电路设计 实验报告 时间:2011年12月

实验一原理图设计 一、实验目的 1.学会使用Unix操作系统 2.学会使用CADENCE的SCHEMA TIC COMPOSOR软件 二:实验内容 使用schematic软件,设计出D触发器,设置好参数。 二、实验步骤 1、在桌面上点击Xstart图标 2、在User name:一栏中填入用户名,在Host:中填入IP地址,在Password:一栏中填入 用户密码,在protocol:中选择telnet类型 3、点击菜单上的Run!,即可进入该用户unix界面 4、系统中用户名为“test9”,密码为test123456 5、在命令行中(提示符后,如:test22>)键入以下命令 icfb&↙(回车键),其中& 表示后台工作,调出Cadence软件。 出现的主窗口所示: 6、建立库(library):窗口分Library和Technology File两部分。Library部分有Name和Directory 两项,分别输入要建立的Library的名称和路径。如果只建立进行SPICE模拟的线路图,Technology部分选择Don’t need a techfile选项。如果在库中要创立掩模版或其它的物理数据(即要建立除了schematic外的一些view),则须选择Compile a new techfile(建立新的techfile)或Attach to an existing techfile(使用原有的techfile)。 7、建立单元文件(cell):在Library Name中选择存放新文件的库,在Cell Name中输 入名称,然后在Tool选项中选择Composer-Schematic工具(进行SPICE模拟),在View Name中就会自动填上相应的View Name—schematic。当然在Tool工具中还有很多别的

哈工大电子技术实验四人无弃权表决电路(高分版)

姓名XXX 班级1108301 学号xx 实验日期节次 9-11 教师签字成绩 四人无弃权表决电路 1.实验目的 1)掌握74LS20的逻辑功能和使用方法; 2)通过实验,进一步熟悉组合逻辑电路的分析与设计方法。 2.总体设计方案或技术路线 设计一个四人无弃权表决电路(多数赞成则提议通过,即三人以上包括三人),用74LS20来实现。 1)根据任务的要求,设计电路; 2)用代数化简法求出最简的逻辑表达式; 3)根据表达式,画出逻辑电路图,用标准器件(与、或、非)构成电路; 4)最后,用实验来验证设计的正确性。 3.实验电路图 1)ABCD输入端,接数据开关;Z输出端接电平指示器; 2)改变ABCD的组态,记录Z的变化,验证逻辑函数的功能及设计的正确性。 4. 仪器设备名称、型号

1)实验箱 1台2)双踪示波器 1台3)双路直流稳压电源 1台4)数字万用表 1只5)74LS20 3片5.理论分析或仿真分析结果 74LS20管脚图: 逻辑关系式: C AB D Z=ABC+BCD+ACD+ABD=AB BCDACD 逻辑图:

6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)真值表: A B C D F 00000 00010 00100 00110

7.实验结论 由真值表可知,四人无弃权表决电路设计成功,实现了预期功能。

8.实验中出现的问题及解决对策 实验过程中由于有五个与门,而每个74LS20可实现两个与门,故线路连起来相当复杂,容易混淆,故在连接电路时安排好位置,标记好引脚和接头。 9.本次实验的收获和体会、对电路实验室的意见或建议 此次设计是对经典四人表决电路的一次创新,利用书本上的知识和以前类似实验的设计思路进行了此次实验,锻炼了实践能力,熟悉了组合逻辑电路的设计方法。 这次的实验绝对原创的,是对以前做过的实验的一次创新,复杂了不少,锻炼了能力。 10.参考文献 [1]电工学实验教程/王宇红主编.——北京:机械工业出版社,(重印)

声控灯地设计与制作-哈工大-电子技术课程设计

H a r b i n I n s t i t u t e o f T e c h n o l o g y 课程设计说明书(论文) 课程名称:电子技术课程设计 设计题目:声控开关的设计与制作 院系:电气工程及其自动化 班级:1406111 设计者:元胜 学号:1140610319 指导教师:吕超 设计时间:2016年12月5-18日 工业大学

工业大学课程设计任务书

*注:此任务书由课程设计指导教师填写。

声控灯的设计与制作 1设计任务及原理 设计任务基本要求:设计一个声控开关,控制对象为发光二极管,接收到一定强度的声音后,声控开关点亮发光二级管,灯亮时间可调。控制延时时间用数字显示。 扩展要求:发光二极管点亮时间延时显示。 1.1设计原理 声控灯是将声音信号转换为电信号、电信号再转换为光信号的装置。 输入部分可由一个驻极体话筒实现。话筒的高分子极化膜生产时就注入了一定的永久电荷。在声波的作用下,极化膜随着声音震动,电容是随声波变化。于是电容两极间的电压就会成反比的变化。将电容两端的电压取出来,就可以得到和声音对应的电压了。但是这个电压信号非常小,不能驱动LED灯。对这个电压信号进行放大、整形,才能得到足够大的电压。 声控灯的延时可以由一个单稳态触发电路实现。单稳态电路的暂态时间就是发光二极管的发光持续时间。用前面经放大的电压作为触发脉冲输送给单稳态触发电路,会得到一个持续特定时间的电压输出。这个输出来驱动发光二极管,就达到了声控、发光的目的。 计数器部分首先需要一个时钟源。时钟源脉冲可由多谐振荡器获得。将单稳态电路的输出与时基脉冲结合,控制计数器的计数与清零,就可以使计数部分与发光部分同步工作。 计数结果再经译码输送给共阳极数码管,显示出来。 2设计过程 2.1声控灯电路原理: 当驻极体话筒接受到一定强度的声音信号时,声音信号转换为电压信号,经三极管放大、施密特触发器整形后,触发单稳态延时电路,产生一个宽度可调的脉冲信号,驱动发光二极管发光。同时,该脉冲信号作为选通信号,使计数器计数,并用数码管显示延时时间。电路的流程图如图 1所示:

《集成电路设计》课程设计实验报告

《集成电路设计》课程设计实验报告 (前端设计部分) 课程设计题目:数字频率计 所在专业班级:电子科 作者姓名: 作者学号: 指导老师:

目录 (一)概述 2 2 一、设计要求2 二、设计原理 3 三、参量说明3 四、设计思路3 五、主要模块的功能如下4 六、4 七、程序运行及仿真结果4 八、有关用GW48-PK2中的数码管显示数据的几点说明5(三)方案分析 7 10 11

(一)概述 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得十分重要。测量频率的方法有多种,数字频率计是其中一种。数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,是一种用十进制数字显示被测信号频率的数字测量仪器。数字频率计基本功能是测量诸如方波等其它各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。 频率计的基本原理是应用一个频率稳定度高的时基脉冲,对比测量其它信号的频率。时基脉冲的周期越长,得到的频率值就越准确。通常情况下是计算每秒内待测信号的脉冲个数,此时我们称闸门时间是1秒。闸门时间也可以大于或小于1秒,闸门的时间越长,得到的频率值就越准确,但闸门的时间越长则每测一次频率的间隔就越长,闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。 本文内容粗略讲述了我们小组的整个设计过程及我在这个过程中的收获。讲述了数字频率计的工作原理以及各个组成部分,记述了在整个设计过程中对各个部分的设计思路、程序编写、以及对它们的调试、对调试结果的分析。 (二)设计方案 一、设计要求: ⑴设计一个数字频率计,对方波进行频率测量。 ⑵频率测量可以采用计算每秒内待测信号的脉冲个数的方法实现。

哈工大电路自主设计实验二端口网络参数的测定

二端口网络参数的测定 一、实验目的 1.加深理解双口网络的基本理论。 2.学习双口网络Y 参数、Z 参数及传输参数的测试方法。 3.验证二端口网络级联后的传输参数与原二端口网络传输参数的关系。 二、原理说明 1.如图2-12-1所示的无源线性双口网络,其两端口的电压、电流四个变量之间关系,可用多种形式的参数方程来描述。 图2-12-1 (1)若用Y 参数方程来描述,则为 ()()()(),即输入端口短路时令,即输入端口短路时令,即输出端口短路时令,即输出端口短路时令其中0I 0I 0I 0I 12 2 2212 1 1221 2 2121 1 1122212122121111== ======+=+=U U Y U U Y U U Y U U Y U Y U Y I U Y U Y I 由上可知,只要在双口网络的输入端口加上电压,令输出端口短路,根据上面的前两个公式即可求得输入端口处的输入导纳Y 11和输出端口与输入端口之间的转移导纳Y 21。 同理,只要在双口网络的输出端口加上电压,令输入端口短路,根据上面的后两个公式即可求得输出端口处的输入导纳Y 22和输入端口与输出端口之间的转移导纳Y 12。 (2)若用Z 参数方程来描述,则为

()()()(),即输入端口开路时令,即输入端口开路时令,即输出端口开路时令,即输出端口开路时 令其中 0U Z 0U Z 0U Z 0U 12 2 2212 1 1221 2 212111122212122121111== ======+=+=I I I I I I I I Z I Z I Z U I Z I Z U 由上可知,只要在双口网络的输入端口加上电流源,令输出端口开路,根据上面的前两个公式即可求得输出端口开路时输入端口处的输入阻抗Z 11和输出端口与输入端口之间的开路转移阻抗Z 21。 同理,只要在双口网络的输出端口加上电流源,令输入端口开路,根据上面的后两个公式即可求得输入端口开路时输出端口处的输入阻抗Z 22和输入端口与输出端口之间的开路转移阻抗Z 12。 (3)若用传输参数(A 、T )方程来描述,则为 ()()()(),即输出端口短路时令,即输出端口开路时令,即输出端口短路时令,即输出端口开路时令其中0I D 0I C 0U B 0U A 221s 220 10 221s 220 10 221221=-= ===-===-=-=U I I U U I I U DI CU I BI AU U s s 由上可知,只要在双口网络的输入端口加上电压,令输出端口开路或短路,在两个端口同时测量电压和电流,即可求出传输参数A 、B 、C 、D ,这种方法称为同时测量法。 2.测量一条远距离传输线构成的双口网络,采用同时测量法就很不方便,这时可采用分别测量法,即先在输入端口加电压,而将输出端口开路或短路,在输入端口测量其电压和电流,由传输方程得 () () ,即输出端口短路时令,即输出端口开路时令00111101010======2s s s 2U D B I U R I C A I U R 然后在输出端口加电压,而将输入端口开路或短路,在输出端口测量其电压和电流,由

电路原理图设计及Hspice实验报告

电子科技大学成都学院 (微电子技术系) 实验报告书 课程名称:电路原理图设计及Hspice 学号: 姓名: 教师: 年06月15日 实验一基本电路图的Hspice仿真 实验时间:同组人员: 一、实验目的 1.学习用Cadence软件画电路图。 2.用Cadence软件导出所需的电路仿真网表。 3.对反相器电路进行仿真,研究该反相器电路的特点。 二、实验仪器设备 Hspice软件、Cadence软件、服务器、电脑 三、实验原理和内容 激励源:直流源、交流小信号源。 瞬态源:正弦、脉冲、指数、分线段性和单频调频源等几种形式。 分析类型:分析类型语句由定义电路分析类型的描述语句和一些控制语句组成,如直流分析(.OP)、交流小信号分析(.AC)、瞬态分析(.TRAN)等分析语句,以及初始状态设置(.IC)、选择项设置(.OPTIONS)等控制语句。这类语句以一个“.”开头,故也称为点语句。其位置可以在标题语句之间的任何地方,习惯上写在电路描述语句之后。 基本原理:(1)当UI=UIL=0V时,UGS1=0,因此V1管截止,而此时|UGS2|> |UTP|,所以V2导通,且导通内阻很低,所以UO=UOH≈UDD,即输出电平. (2)当UI=UIH=UDD时,UGS1=UDD>UTN,V1导通,而UGS2=0<|UTP|,因此V2截止。此时UO=UOL≈0,即输出为低电平。可见,CMOS反相器实现了逻辑非的功能. 四、实验步骤

1.打开Cadence软件,画出CMOS反相器电路图,导出反相器的HSPICE网表文件。 2.修改网表,仿真出图。 3.修改网表,做电路的瞬态仿真,观察输出变化,观察波形,并做说明。 4.对5个首尾连接的反相器组成的振荡器进行波形仿真。 5.分析仿真结果,得出结论。 五、实验数据 输入输出仿真: 网表: * lab2c - simple inverter .options list node post .model pch pmos .model nch nmos *.tran 200p 20n .dc vin 0 5 1m sweep data=w .print v(1) v(2) .param wp=10u wn=10u .data w wp wn 10u 10u 20u 10u 40u 10u 40u 5u .enddata vcc vcc 0 5 vin in 0 2.5 *pulse .2 4.8 2n 1n 1n 5n 20n cload out 0 .75p m1 vcc in out vcc pch l=1u w=wp m2 out in 0 0 nch l=1u w=wn .alter vcc vcc 0 3 .end 图像: 瞬态仿真: 网表: * lab2c - simple inverter .options list node post .model pch pmos .model nch nmos .tran 200p 20n .print tran v(1) v(2) vcc vcc 0 5 vin in 0 2.5 pulse .2 4.8 2n 1n 1n 5n 20n cload out 0 .75p m1 vcc in out vcc pch l=1u w=20u

哈工大电路自主设计实验

姓名 班级 学号 实验日期 节次 教师签字 成绩 影响RLC 带阻滤波器性能参数的因素的研究与验证 1.实验目的 (1)学习带阻滤波器的设计方法 (2)测量RLC 带阻滤波器幅频特性曲线 (3)研究电阻、电容和品质因素Q 对滤波器性能的影响 (4)加深对滤波器滤波概念的理解 2.总体设计方案或技术路线 (1)理论推导,了解滤波器的主要性能参数及与滤波器性能有关的因素 (2)设计RLC 带阻滤波器电路图 (3)研究电阻R 对于滤波器参数的影响 (4)研究电容C 对于滤波器参数的影响 (5)研究电感L 对于滤波器参数的影响 (6)合理设计实验测量,结合电容C 和电感L 对滤波器参数的影响 (7)将实际测量结果与理论推导作对比,并分析实验结果 3.实验电路图 R1V- V+

4.仪器设备名称、型号 函数信号发生器 1台 FLUKE190-104数字便携式示波表 1台 十进制电阻箱 1只 十进制电容箱 1只 十进制电感箱 1只 5.理论分析或仿真分析结果 带阻滤波器是指能通过大多数频率分量、但将某些范围的频率分量衰减到极低水平的滤波器,与带通滤波器的概念相对。 理想带阻滤波器在阻带内的增益为零。带阻滤波器的中心频率f o,品质因素Q和抑制带宽BW之间的关系为 仿真结果: R=2000Ω C=0.01uf L=0.2H

R=500Ω C=0.01uf L=0.2H

R=2000Ω C=0.05uf L=0.2H

R=2000Ω C=0.01uf L=0.1H R=2000Ω C=0.01uf L=0.5H

改变R时对比图 改变C时对比图 改变L时对比图 6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录) (1)电阻R对于滤波器参数的影响 任务1:电路如图所示,其中信号源输出Us=5V,电容C=0.01uF,电感L=0.2H,根据下表所示,选择不同电阻值测量输出幅频特性

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

cmos模拟集成电路设计实验报告

北京邮电大学 实验报告 实验题目:cmos模拟集成电路实验 姓名:何明枢 班级:2013211207 班内序号:19 学号:2013211007 指导老师:韩可 日期:2016 年 1 月16 日星期六

目录 实验一:共源级放大器性能分析 (1) 一、实验目的 (1) 二、实验内容 (1) 三、实验结果 (1) 四、实验结果分析 (3) 实验二:差分放大器设计 (4) 一、实验目的 (4) 二、实验要求 (4) 三、实验原理 (4) 四、实验结果 (5) 五、思考题 (6) 实验三:电流源负载差分放大器设计 (7) 一、实验目的 (7) 二、实验内容 (7) 三、差分放大器的设计方法 (7) 四、实验原理 (7) 五、实验结果 (9) 六、实验分析 (10) 实验五:共源共栅电流镜设计 (11) 一、实验目的 (11) 二、实验题目及要求 (11) 三、实验内容 (11) 四、实验原理 (11) 五、实验结果 (14) 六、电路工作状态分析 (15) 实验六:两级运算放大器设计 (17) 一、实验目的 (17) 二、实验要求 (17) 三、实验内容 (17) 四、实验原理 (21) 五、实验结果 (23) 六、思考题 (24) 七、实验结果分析 (24) 实验总结与体会 (26) 一、实验中遇到的的问题 (26) 二、实验体会 (26) 三、对课程的一些建议 (27)

实验一:共源级放大器性能分析 一、实验目的 1、掌握synopsys软件启动和电路原理图(schematic)设计输入方法; 2、掌握使用synopsys电路仿真软件custom designer对原理图进行电路特性仿真; 3、输入共源级放大器电路并对其进行DC、AC分析,绘制曲线; 4、深入理解共源级放大器的工作原理以及mos管参数的改变对放大器性能的影响 二、实验内容 1、启动synopsys,建立库及Cellview文件。 2、输入共源级放大器电路图。 3、设置仿真环境。 4、仿真并查看仿真结果,绘制曲线。 三、实验结果 1、实验电路图

哈工大电子技术实验四人无弃权表决电路(高分版)

姓名XXX 班级1108301 学号11108301xx 实验日期 6.5 节次9-11 教师签字成绩 四人无弃权表决电路 1.实验目的 1)掌握74LS20的逻辑功能和使用方法; 2)通过实验,进一步熟悉组合逻辑电路的分析与设计方法。 2.总体设计方案或技术路线 设计一个四人无弃权表决电路(多数赞成则提议通过,即三人以上包括三人),用74LS20来实现。 1)根据任务的要求,设计电路; 2)用代数化简法求出最简的逻辑表达式; 3)根据表达式,画出逻辑电路图,用标准器件(与、或、非)构成电路; 4)最后,用实验来验证设计的正确性。 3.实验电路图 1)ABCD输入端,接数据开关;Z输出端接电平指示器; 2)改变ABCD的组态,记录Z的变化,验证逻辑函数的功能及设计的正确性。 4. 仪器设备名称、型号 1)实验箱 1台 2)双踪示波器 1台 3)双路直流稳压电源 1台 4)数字万用表 1只 5)74LS20 3片

5.理论分析或仿真分析结果 74LS20管脚图: 逻辑关系式: C AB D Z=ABC+BCD+ACD+ABD=AB BCDACD 逻辑图:

6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)真值表:

7.实验结论 由真值表可知,四人无弃权表决电路设计成功,实现了预期功能。 8.实验中出现的问题及解决对策 实验过程中由于有五个与门,而每个74LS20可实现两个与门,故线路连起来相当复杂,容易混淆,故在连接电路时安排好位置,标记好引脚和接头。 9.本次实验的收获和体会、对电路实验室的意见或建议 此次设计是对经典四人表决电路的一次创新,利用书本上的知识和以前类似实验的设计思路进行了此次实验,锻炼了实践能力,熟悉了组合逻辑电路的设计方法。 这次的实验绝对原创的,是对以前做过的实验的一次创新,复杂了不少,锻炼了能力。 10.参考文献 [1]电工学实验教程/王宇红主编.——北京:机械工业出版社,2009.8(2012.1重印)

电子电工综合实验报告

电工电子综合试验——数字计时器实验报告 学号: 姓名: 学院: 专业:通信工程

目录 一,实验目的及要求 二,设计容简介 四,电路工作原理简述 三,设计电路总体原理框图五,各单元电路原理及逻辑设计 1. 脉冲发生电路 2. 计时电路和显示电路 3. 报时电路 4. 较分电路 六引脚图及真值表

七收获体会及建议 八设计参考资料 一,实验目的及要求 1,掌握常见集成电路实现单元电路的设计过程。 2,了解各单元再次组合新单元的方法。 3,应用所学知识设计可以实现00’00”—59’59”的可整点报时的数字计时器 二,设计容简介: 1,设计实现信号源的单元电路。( KHz F Hz F Hz F Hz F1 4 , 500 3 , 2 2 , 1 1≈ ≈ ≈ ≈ ) 2,设计实现00’00”—59’59”计时器单元电路。 3,设计实现快速校分单元电路。含防抖动电路(开关k1,频率F2,校分时秒计时器停止)。4,加入任意时刻复位单元电路(开关K2)。 5,设计实现整点报时单元电路(产生59’53”,59’55”,59’57”,三低音频率F3,59’59”一高音频率F4)。 三,设计电路总体原理框图 设计框图: 四,电路工作原理简述 电路由振荡器电路、分频器、计数器、译码器、显示器、校时电路和报时电路组成。振荡器产生的脉冲信号经过十二级分频器作为秒脉冲,秒脉冲送入计数器,计数器通过“时”、“分”、“秒”译码器显示时间,将分秒计时器分开,加入快速校分电路与防抖动电路,并控制秒计

时器停止工作。较分电路实现对“分”上数值的控制,而不受秒十位是否进位的影响,在60进制控制上加入任意时刻复位电路。报时电路通过1kHz或2kHz的信号和要报时的时间信号进行“与”的运算来实现的顶点报时的,通过两个不同频率的脉冲信号使得在不同的时间发出不同的声响。 五,各单元电路原理及逻辑设计 (1)脉冲发生电路 脉冲信号发生电路是危机时期提供技术脉冲,此次实验要求产生1HZ的脉冲信号。用NE555集成电路和CD4040构成。555定时器用来构成多谐振荡器,CD4040产生几种频率为后面电路使用。 实验电路如下(自激多谐振荡电路,周期矩形波发生电路) 震荡周期T=0.695(R1+2*R2)C,其中R1=1KΩ,R2=3KΩ,C=0.047uf,计算T=228.67*10-6 s ,f=4373.4Hz产生的脉冲频率为4KHz,脉冲信号发生电路 和CD4040连接成如图所示的电路,则从Q12输出端可以得到212分频信号F1,即1Hz的信号,Q11可以得到F2即2Hz的信号提供给D触发器CP和校分信号,Q3输出分频信号500Hz,Q2输出1KHz提供给报时电路 二,秒计时电路 应用CD4518及74LS00可以设计该电路,CD4518是异步清零,所以在进行分和秒十位计数的时候,需要进行清零,而在个位计数的时候不需要清零。所以Cr2=2QcQb,Cr4=4Qc4QB。当秒个位为1001时,秒十位要实现进位,此时需要EN2=1Qd,同理分的个位时钟EN3=2Qc,分十位时钟端EN4=3Qd。因此,六十进制计数器逻辑电路如下图所示

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

中山大学数字电路与逻辑设计实验报告

中山大学数字电路与逻辑设计实验报告 院系信息科学与技术学院学号 专业计算机科学类实验人 3、实验题目:AU(Arithmetic Unit,算术单元)设计。 实验内容: 设计一个半加半减器,输入为 S、A、B,其中S为功能选择口。当S=0时,输出A+B及进位;当S=1时,输出A-B及借位。 S 输入1 输入2 输出Y 进/借位Cn 0 A B A+B 进位 1 A B A-B 借位 利用三种方法实现。 (1)利用卡诺图简化后只使用门电路实现。 (2)使用74LS138实现。 (3)使用74LS151实现,可分两次单独记录和/差结果、进位借位结果或使用两块74LS151实现。 实验分析: 真值表 S A B Y Cn 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 0 0 1 0 1 1 1 1 1 0 1 0 1 1 1 0 0 卡诺图: S AB 0 1 通过卡诺图可得:Y=A B+A B 00 01 11 100 0 1 1 0 0 1 1

S AB 0 1 00 Cn=AB S +A BS 01 =(A S +A S)B 11 10 实验设计: (1)利用门电路实现。 ①利用74LS197的八进制输出端Q1、Q2、Q3作为B 、A 、S 的输入。 ②用异或门74LS86实现输出Y. ③用74LS86实现A ⊕B ,再用74LS08与B 实现与门。 (2)利用74LS138实现 ①将74LS197的Q3、Q2、Q1作为74LS138的S2、S1、S0输入,G2A 、G2B 接低电平,G1接高电平。 ②将74LS138的Y1、Y5、Y2、Y6利用74LS20实现与非门作为输出Y 。 ③ 将74LS138的Y3、Y5利用74LS00实现与非门作为输出Cn 。 0 0 0 1 1 0 0 0

哈工大电工自主设计实验-彩灯控制

姓名班级学号 实验日期节次教师签字成绩 实验名称:循环彩灯控制电路设计 一.实验目的 1.巩固和加深所学电子技术课程的基础知识,提高综合运用所学知识的能力; 2.培养学生的自主学习能力、实践能力和创新能力; 3.通过对设计方案的分析、元件的选择及对电路的调试等环节,培养自主进行科学实验的能力。 二.总体设计方案或技术路线 1.整体设计方案 (1)目标功能: 控制及输出元件设置:左移按钮A、右移按钮B、复位按钮C,彩灯L0~L7,数码管一个,实验箱提供的1Hz方波作为时钟脉冲。 功能要求: 1、按下复位按钮C,八位彩灯全灭,数码管显示数字清零。 2、复位后,按住左移按钮A一段时间,则从彩灯行的右端开始亮起并随时钟脉冲左移,亮起彩灯的个数视按住按钮A的时长而定,松开按钮A后亮起的灯的总个数不变,且在彩灯行中一直环状循环移动。 3、复位后,按下右移按钮B,变化方式与按下A时对称。 4、数码管显示当次操作所点亮的彩灯个数。 (2)技术路线:八位彩灯通过两个74LS194芯片的输出口控制亮灭,因74LS194有移位操作故容易实现流水灯的移动方式。按钮A和B既通过DSL/R控制彩灯亮起,又与时钟脉冲通过与非门处理送入计数器74LS161,再通过集成数码显示管显示出亮起彩灯的个数(0~8)。三个主要芯片统一使用按钮C进行复位。再将A、B给出的信号接至J-K触发器的J、K端,输出Q与-Q用于控制74LS194的左移/右移,接至S1/S0端。对于未经复位按下A/B键或同时按下A、B键的情况不予考虑。 2.设计原理 电路主要分为两部分:以74LS194为主的彩灯控制部分;以74LS161为主的计数部分。 彩灯控制部分:彩灯L0~L3分别由74LS194<1>的Q0~Q3控制,彩灯L4~L7分别由74LS194<2>的Q0~Q3控制。将74LS194<2>的右移输入端DSR<2>与74LS194<1>的Q3相连,再将74LS194<1>的左移输入端DSL<1>与74LS194<2>的Q0相连,这样左移和右移时信号都能在芯片间传递,完成两部分彩灯的接续。同理若将DSL<2>与74LS194<1>的Q0相连、DSR<1>与74LS194<2>的Q3相连,则彩灯链闭合,但因DSR<1>、DSL<2>还要与开关电平信号相连,为避免开关电平信号影响彩灯L0与L7,故用或门隔开,使得L7和信号B均能对DSR<1>作用,L0和信号A均能对DSL<2>作用。然后是左移右移操作的控制。此处采用一个J-K触发器,将信号A接入K端,信号B接入J端,输出Q接至两个74LS194的S0端,Q非接至两个74LS194

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

电子电路设计实验报告

电子电路设计实验报告 电子线路专题实验Ⅱ 一、实验要求: 1. 认真阅读学习系统线路及相关资料 2. 将键盘阵列定义为0. 1. 2------ E. F,编程实现将键盘输入内容显示在LCD显示器上。 3. 编程实现将日历、时钟显示在LED显示屏上(注意仔细阅读PCF8563资料),日历、时钟轮回显示。 4. 利用D/A转换通道(下行通道)实现锯齿波发生器;输出(1~5V)固定电压转换成(4~20mA)电流。 5. 利用A/D转换通道(上行通道)实现数据采集,将采集信号显示在LED屏上。程序要求分别具有平均值滤波、中值滤波和滑动滤波功能。 6. 将按键阵列定义成与16个语音段对应,编写程序,实现按键播放不同的语音段。 二、实验设计思路: 本次实验用c语言实现,主要包括LCD,LED,AD,DA,日历芯片,测温传感芯片。受到嵌入式系统实验的启发,将LCD,LED,I2C总线协议,键盘扫描模块接口写成一个文件库(放在library文件夹下),尽量做到调用时与底层硬件无关。通过调用库文件中的函数,实现代码的重用性。键盘,LCD的代码由于与嵌入式实验具有相通之处,因此可将高层的函数(与底层硬件无关的函数)方便地移植过来。 三、实验设计: 1.矩阵键盘扫描模块 4×4的矩阵键盘,通过扫描可得到按下键的行列值,将行列值转换为相应的对应数字0~F。函数GetKey()实现获得按键的键值。对于键盘模块对于对按键的键值识别主要是通过两次扫描而取得。对于第一次扫描,给四行键全部赋予1,然后读回键盘值,对于第二次扫描,逐行为键盘送1,每次送1后再读回键盘值,若非零,说明此行有键按下,最终确定键值。 通过调用GetKey函数构造GetChar()函数,实现获取键盘字符(’0’~’F’)的功能。

CMOS数字集成电路设计_八位加法器实验报告

CMOS数字集成电路设计课程设计报告 学院:****** 专业:****** 班级:****** 姓名:Wang Ke qin 指导老师:****** 学号:****** 日期:2012-5-30

目录 一、设计要求 (1) 二、设计思路 (1) 三、电路设计与验证 (2) (一)1位全加器的电路设计与验证 (2) 1)原理图设计 (2) 2)生成符号图 (2) 3)建立测试激励源 (2) 4)测试电路 (3) 5)波形仿真 (4) (二)4位全加器的电路设计与验证 (4) 1)原理图设计 (4) 2)生成符号图 (5) 3)建立测试激励源 (5) 4)测试电路 (6) 5)波形仿真 (6) (三)8位全加器的电路设计与验证 (7) 1)原理图设计 (7) 2)生成符号图 (7) 3)测试激励源 (8) 4)测试电路 (8) 5)波形仿真 (9) 6)电路参数 (11) 四、版图设计与验证 (13) (一)1位全加器的版图设计与验证 (13) 1)1位全加器的版图设计 (13) 2)1位全加器的DRC规则验证 (14) 3)1位全加器的LVS验证 (14) 4)错误及解决办法 (14) (二)4位全加器的版图设计与验证 (15) 1)4位全加器的版图设计 (15) 2)4位全加器的DRC规则验证 (16) 3)4位全加器的LVS验证 (16) 4)错误及解决办法 (16) (三)8位全加器的版图设计与验证 (17) 1)8位全加器的版图设计 (17) 2)8位全加器的DRC规则验证 (17) 3)8位全加器的LVS验证 (18) 4)错误及解决办法 (18) 五、设计总结 (18)

北京邮电大学数字电路实验报告

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能,并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器能够由两个半加器和一个或门构成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表 示式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)(

福州大学集成电路版图设计实验报告

福州大学物信学院 《集成电路版图设计》 实验报告 姓名:席高照 学号:111000833 系别:物理与信息工程 专业:微电子学 年级:2010 指导老师:江浩

一、实验目的 1.掌握版图设计的基本理论。 2.掌握版图设计的常用技巧。 3.掌握定制集成电路的设计方法和流程。 4.熟悉Cadence Virtuoso Layout Edit软件的应用 5.学会用Cadence软件设计版图、版图的验证以及后仿真 6.熟悉Cadence软件和版图设计流程,减少版图设计过程中出现的错误。 二、实验要求 1.根据所提供的反相器电路和CMOS放大器的电路依据版图设计的规则绘制电路的版图,同时注意CMOS查分放大器电路的对称性以及电流密度(通过该电路的电流可能会达到5mA) 2.所设计的版图要通过DRC、LVS检测 三、有关于版图设计的基础知识 首先,设计版图的基础便是电路的基本原理,以及电路的工作特性,硅加工工艺的基础、以及通用版图的设计流程,之后要根据不同的工艺对应不同的设计规则,一般来说通用的版图设计流程为①制定版图规划记住要制定可能会被遗忘的特殊要求清单②设计实现考虑特殊要求及如何布线创建组元并对其进行布局③版图验证执行基于计算机的检查和目视检查,进行校正工作④最终步骤工程核查以及版图核查版图参数提取与后仿真 完成这些之后需要特别注意的是寄生参数噪声以及布局等的影响,具体是电路而定,在下面的实验步骤中会体现到这一点。 四、实验步骤 I.反相器部分: 反相器原理图:

反相器的基本原理:CMOS反相器由PMOS和NMOS构成,当输入高电平时,NMOS导通,输出低电平,当输入低电平时,PMOS导通,输出高电平。 注意事项: (1)画成插齿形状,增大了宽长比,可以提高电路速度 (2)尽可能使版图面积最小。面积越小,速度越高,功耗越小。 (3)尽可能减少寄生电容和寄生电阻。尽可能增加接触孔的数目可以减小接触电阻。(4)尽可能减少串扰,电荷分享。做好信号隔离。 反相器的版图: 原理图电路设计: 整体版图:

哈工大数字电路实验报告实验二

数字逻辑电路与系统上机实验讲义 实验二时序逻辑电路的设计与仿真 课程名称:数字逻辑电路与系统 院系:电子与信息工程学院 班级:1205102 姓名: 学号:1120510 教师:吴芝路 哈尔滨工业大学 2014年12月

实验二时序逻辑电路的设计与仿真3.1实验要求 本实验练习在Maxplus II环境下时序逻辑电路的设计与仿真,共包括6个子实验,要求如下: 节序实验内容要求 3.2同步计数器实验必做 3.3时序电路分析实验必做 3.4移位寄存器实验必做 3.5三人抢答器实验必做 3.6串并转换电路实验选做 3.7奇数分频电路实验选做

3.2同步计数器实验 3.2.1实验目的 1.练习使用计数器设计简单的时序电路 2.熟悉用MAXPLUS II仿真时序电路的方法 3.2.2实验预习要求 1.预习教材《6-3计数器》 2.了解本次实验的目的、电路设计要求 3.2.3实验原理 计数器是最基本、最常用的时序逻辑电路之一,有很多品种。按计数后的输出数码来分,有二进制及BCD码等区别;按计数操作是否有公共外时钟控制来分,可分为异步及同步两类;此外,还有计数器的初始状态可否预置,计数长度(模)可否改变,以及可否双向等区别。 本实验用集成同步4位二进制加法计数器74LS161设计N分频电路,使输出信号CPO的频率为输入时钟信号CP频率的1/N,其中N=(学号后两位mod 8)+8。下表为74LS161的功能表。 CLR N LDN ENP ENT CLK D C B A QD QC QB QA CO 0----------------00000 10----↑D C B A D C B A0 1111↑--------加法计数0 1111↑--------11111 110------------QD n QC n QB n QA n 11--0---------- 3.2.4实验步骤 1.打开MAXPLUS II,新建一个原理图文件,命名为EXP3_ 2.gdf。 2.按照实验要求设计电路,将电路原理图填入下表。

相关文档
相关文档 最新文档