文档库 最新最全的文档下载
当前位置:文档库 › 第6章习题答案 计算机组成原理课后答案(清华大学出版社 袁春风主编)

第6章习题答案 计算机组成原理课后答案(清华大学出版社 袁春风主编)

第6章习题答案 计算机组成原理课后答案(清华大学出版社 袁春风主编)
第6章习题答案 计算机组成原理课后答案(清华大学出版社 袁春风主编)

习题

1.给出以下概念的解释说明。

指令周期(Instruction Cycle)机器周期(Machine Cycle)

同步系统(Synchronous system)时序信号(Timing signal)

控制单元(Control Unit, CU)执行部件(Execute Unit,EU)

组合逻辑元件(Combinational logic element)或操作元件(Operate element)

时序逻辑元件(Sequential logic circuit)或状态元件(State element)

多路选择器(Multiplexor)扩展器(Extension unit)

“零”扩展(0- extend)“符号”扩展(Sign extend)

算术逻辑部件ALU(Arithmetic Logic Unit)加法器(Adder)

CPU总线(CPU Bus)寄存器堆(Register file)

定时方式(Clocking methodology)边沿触发(Edge-triggered)

寄存器写信号(Register Write)指令存储器(Instruction Memory)数据存储器(Data Memory)程序计数器(Program Counter)

指令寄存器(Instruction Register)指令译码器(Instruction Decoder)时钟周期(Clock Cycle)主频(CPU Clock Rate / Frequency 转移目标地址(Branch target address)控制信号(Control signal)

微程序控制器(Microprogrammed control)硬布线控制器(Hardwared control)控制存储器(Control Storage,控存CS)微代码(Microcode)

微指令(Microinstruction)微程序(Microprogram)

固件(Firmware)中断过程(Interrupt Processing)

异常(Exception)故障(fault)

自陷(Trap) 终止(Abort)

中断(Interrupt)中断服务程序(Interrupt Handler)中断允许位(Interrupt Enable Bit)关中断(Interrupt OFF)

开中断(Interrupt ON)中断响应(Interrupt Response)

向量中断(Vector Interrupt)中断向量(Interrupt vector)

中断向量表(Interrupt vector table)向量地址(vector Address)

中断类型号(Interrupt number)

2. 简单回答下列问题。

(1)CPU的基本组成和基本功能各是什么?

(2)取指令部件的功能是什么?

(3)控制器的功能是什么?

(4)为什么对存储器按异步方式进行读写时需要WMFC信号?按同步方式访问存储器时,CPU如何实现存储器读写?

(5)单周期处理器的CPI是多少?时钟周期如何确定?为什么单周期处理器的性能差?元件在一个指令周期内能否被重复使用?为什么?

? 156 ?

(6)多周期处理器的设计思想是什么?每条指令的CPI是否相同?为什么在一个指令周期内某个元件可被重复使用?

(7)单周期处理器和多周期处理器的控制逻辑设计的差别是什么?

(8)硬布线控制器和微程序控制器的特点各是什么?

(9)为什么CISC大多用微程序控制器实现,RISC大多用硬布线控制器实现?

(10)水平型微指令和垂直型微指令的基本概念和优缺点是什么?

(11)CPU检测内部异常和外部中断的方法有什么不同?

3. 在书中图6.9中,假定总线传输延迟和ALU运算时间分别是20ps和200ps,寄存器建立时间

为10ps,寄存器保持时间为5ps,寄存器的锁存延迟(Clk-to-Q time)为4ps,控制信号的生成延迟(Clk-to-signal time)为7ps,三态门接通时间为3ps,则从当前时钟到达开始算起,完成以下操作的最短时间是多少?各需要几个时钟周期?

(1)将数据从一个寄存器传送到另一个寄存器

(2)将程序计数器PC加1

所示。

? 157 ?

? 158 ?

(a) 当前周期内不执行ALU 运算

(b) 当前周期内执行ALU 运算

图6.10 单总线数据通路中主要路径的定时

参考答案:

(1)寄存器的锁存延迟与控制信号的生成延迟的时间重叠,

且Clk-to-signal time> Clk-to-Q time ,所以完成寄存器传送的时间延迟为:

7+3+20+10=40ps 。

因为在这个过程中,只要最后保存一次信息到寄存器,所以只需要一个时钟周期。 (2)分两个阶段:

PC+1→Z :7+3+20+200+10=240ps ; Z→PC :7+3+20+10==40ps 寄存器保持时间用来作为时间约束。

因为在这个过程中,需要经过两次总线传输,每次都将传输信息保存在某个寄存器中,所以需要两个时钟周期。

Clk

Ri Z in

0 1 2 3

t

t

Ri Rj in

0 1 2 3 t

? 159 ?

4. 右图6.30给出了某CPU 内部结构的一部分,MAR 和MDR 直接连到存储器总线(图中省略)。

在两个总线之间的所有数据传送都需经过算术逻辑部件ALU 。ALU 可实现的部分功能及其控制信号如下:

MOVa :F=A ; MOVb :F=B ; a+1:F=A+1; b+1:F=B+1 a-1:F=A-1;

b-1:F=B-1

其中A 和B 是ALU 的输入,F 是ALU 的输出。假定JSR (转子 指令)指令占两个字,第一个字是操作码,第二个字给出 子程序的起始地址,返回地址保存在主存的栈中,用SP (栈 指示器)指向栈顶,按字编址,每次从主存读取一个字。请 写出读取并执行JSR 指令所要求的控制信号序列(提示:当前 指令地址在PC 中)。 参考答案:

假定采用同步方式(若为异步,则只需在read 和Write 后加一个等待信号WMFC )

分三个阶段:

1. 取指令操作码:PCout, MOVb, MARin Read, b+1, PCin MDRout, MOVb, IRin

2. 取子程序首址:PCout , MOVb, MARin

Read, b+1, Yin (返回地址在Y 中)

MDRout, MOVb, PCin (子程序首址在PC 中) 3. 保存返址至栈:SPout, MOVb, MARin

Yout, MOVb, MDRin Write, SPout, b-1, SPin

(注:若按最长的存储访问时间作为CPU 时钟周期,则上述每个阶段都需三个时钟周期)

能否用更少的时钟周期完成上述功能?不能!以下是另一种方式) 1. 取指令操作码:PCout, MOVb, MARin Read, b+1, Yin MDRout, MOVb, IRin

2. 取子程序首址:Yout, MOVb, MARin

Read, a+1, Yin (用b+1也行) MDRout, MOVb, PCin 3. 保存返址至栈:SPout, MOVb, MARin

Yout, MOVb, MDRin Write, SPout, b-1, Spin

图30

5. 假定某计算机字长16位,CPU内部结构如书中图

6.9所示,CPU和存储器之间采用同步方式

通信,按字编址。采用定长指令字格式,指令由两个字组成,第一个字指明操作码和寻址方式,第二个字包含立即数Imm16。若一次存储访问所花时间为2个CPU时钟周期,每次存储访问存取一个字,取指令阶段第二次访存将Imm16取到MDR中,请写出下列指令在指令执行阶段的控制信号序列,并说明需要几个时钟周期。

(1)将立即数Imm16加到寄存器R1中,此时,Imm16为立即操作数。

即:R[R1]←R[R1]+ Imm16

(2)将地址为Imm16的存储单元的内容加到寄存器R1中,此时,Imm16为直接地址。

即:R[R1]←R[R1]+ M[Imm16]

(3)将存储单元Imm16的内容作为地址所指的存储单元的内容加到寄存器R1中。此时,Imm16为间接地址。即:R[R1]←R[R1]+ M[M[Imm16]]

参考答案:

R1out, add, Zin

Zout, R1in

需3个时钟周期

(2)MDRout, MARin

Read1,(R1out, Yin也可以放在该

控制信号所在的时钟周期中)

Read2, R1out, Yin

MDRout, add, Zin

Zout, R1in

需5个时钟周期

(3)MDRout, MARin

Read1

Read2

MDRout, MARin

Read1,(R1out, Yin)

Read2, R1out, Yin

MDRout, add, Zin

Zout, R1in

需8个时钟周期

? 160 ?

6. 假定图6.24单周期数据通路对应的控制逻辑发生错误,使得在任何情况下控制信号RegWr、

RegDst、Branch、MemWr、ExtOp、R-type总是为0,则哪些指令不能正确执行?为什么?

参考答案:

总是0 总是1

RegWr 则所有需写结果到寄存器的指令(如:R-Type指令、load指令等)都不能正确

执行,因为寄存器不发生写操作不需写结果到寄存器的指令可能会出错(如store,分支,转移指令等)

RegDst 则所有R-Type指令都不能正确执行,因

为目的寄存器指定错误

所有非R-Type指令都不能正确执行

Branch Branch指令可能出错,因为永远不会发生转移非Branch指令都出错,因为下条指令的地址计算错误

MemWr Store指令不能正确执行,因为存储器不能写入所需数据非Store指令都会出错,因为存储器内会写入错误数据

ExtOp 需要符号扩展的指令(如Beq、

lw/sw,addiu等)发生错误

必须0扩展的指令会出错(比如ori)

7. 假定图6.24单周期数据通路对应的控制逻辑发生错误,使得在任何情况下控制信号RegWr、

RegDst、Branch、MemWr、ExtOp、R-type总是为1,则哪些指令不能正确执行?为什么?

参考答案:见第6题的表格.

? 161 ?

8. 在MIPS指令集中需要增加一条swap指令,可以使用软件方式用若干条已有指令来实现伪

指令,也可以通过改动硬件来实现。

(1)写出用伪指令方式实现“swap $rs, $rt”时的指令序列

(2)假定用硬件实现时会使一条指令的执行时间增加10%,则swap指令在程序中占多大的比例才值得用硬件方式来实现?

参考答案:

(1)swap指令可用以下三条指令实现。

xor $rs, $rs, $rt

xor $rt, $rs, $rt

xor $rs, $rs, $rt

(若使用额外寄存器$rtemp,则$rtemp内容会被破坏,所以伪指令一般不能用额外寄存器)add $rtemp, $rs, $zero

add $rs, $rt, $zero

add $rt, $rtemp, $zero

(若使用加减法,,可能溢出。如使用无符号数加减法addu,subu也可以)

add $rs, $rs, $rt

sub $rt, $rs, $rt

add $rs, $rs, $rt

(2)假定该指令占x%,其他指令占(1-x)%

则用硬件实现该指令时, 程序执行时间为原来的1.1*(x+1-x) =1.1 倍

用软件实现该指令时,程序执行时间为原来的3x+1-x =(2x+1)倍

当1.1 < 2x+1 时,硬件实现才有意义

由此可知,x > 5%

? 162 ?

9. 假定图6.33多周期数据通路对应的控制逻辑发生错误,使得在任何情况下控制信号PCWr、

IRWr、RegWr、BrWr、PCSource、MemWr、MemtoReg、PCWrCond、R-type总是为0,则哪些指令不能正确执行?为什么?

参考答案:

若PCWr=0,则所有指令都不正确,因为无法更新PC

若IRWr=0,则所有指令都不能正确执行,因为IR中不能写入指令

若RegWr=0,则所有需要写结果到寄存器的指令(如:R-Type指令、load指令等)都不能正确执行,因为寄存器不发生写操作

若BrWr=0,则Branch指令不能正确执行,因为投机计算的分支地址无法送入寄存器

若PCSource=00,则除j之外的其他指令都不能正确得到下条指令地址

若MemWr=0,则Store指令不能正确执行,因为存储器不能写入数据

若MemtoReg=0,则所有Load指令执行错误,因为寄存器写入的是ALU输出

若PCWrCond=0,则Branch指令不能正确执行,因为不能写入转移目标地址到PC

若R-type=0,则所有R-type指令的执行可能出错

10. 假定P.185图6.32多周期数据通路对应的控制逻辑发生错误,使得在任何情况下控制信号

PCWr、IRWr、RegWr、BrWr、PCSource、MemWr、MemtoReg、PCWrCond、R-type总是为1,则哪些指令不能正确执行?为什么?

参考答案:

若PCWr=1,则程序执行顺序失控,因为每个时钟都会更新PC

若IRWr=1,则所有指令都可能不能正确执行,因为写入IR的可能不是当前指令

若RegWr=1,则所有不需写结果到寄存器的指令(如:sw、beq等)都不能正确执行

若BrWr=1,则Branch指令不能正确执行,因为运算阶段的ALU输出也会放入寄存器,成为错误的分支转移目标地址。

若PCSource=01,则j和Branch指令不能正确得到下条指令地址

若MemWr=1,则除Store指令外的所有指令都不能正确执行

若MemtoReg=1,则除Load外的所有指令执行错误

若PCWrCond=1,则除Branch外的其他指令可能不能正确执行

若R-type=1,则所有非R-type指令的执行可能出错

? 163 ?

12. 假定某计算机字长16位,标志寄存器Flag中的ZF、NF和VF分别是零、负和溢出标志,采

用双字节定长指令字。假定Bgt (大于零转移) 指令的第一个字节指明操作码和寻址方式,第二个字节为偏移地址Imm8,其功能是:

⊕F)=0) 则PC=PC+2+Imm8 否则PC=PC+2

若(ZF+(NF V

(1) 该计算机的编址单位是什么?

(2) 画出实现Bgt指令的数据通路。

参考答案:

(1)该计算机的编址单位是字节。

因为PC的增量是2,且每条指令占2个字节,所以编址单位是字节。

(2)实现Bgt指令的数据通路如下

根据“大于”条件判断表达式,可以看出该bgt指令实现的是带符号整数比较。因为无符号数比较时,其判断表达式中没有溢出标志OF。偏移地址Imm8为补码表示,转移目标地址可能在bgt指令之前,也可能在bgt指令之后。计算转移目标地址时,偏移量为Imm8, 范围为-128~127,故转移目标地址的范围是PC+2+(-128)~PC+2+127

如果偏移量为Imm8x2, 转移目标地址的范围是PC+2+(-128×2)~PC+2+127×2,其实意味着相对于bgt指令的前127条指令到后128条指令之间。

IR

? 164 ?

13. 对于多周期MIPS处理器,假定将访问数据的过程分成两个时钟周期可使时钟频率从

4.8GHz提高到

5.6GHz,但会使得lw和sw指令增加时钟周期数。已知基准程序CPUint 2000

中各类指令的频率为:Load: 25%,Store: 10%,Branch: 11%,Jump: 2%,ALU: 52%。

以基准程序CPUint 2000为标准计算时钟频率提高后处理器的性能提高了多少?若将取指令过程再分成两个时钟周期,则可进一步使时钟频率提高到6.4GHz,此时,时钟频率的提高是否也能带来处理器性能的提高?为什么?

参考答案:

三种频率的机器上,各类指令的百分比和CPI

Load Store Branch Jump ALU

25% 10% 11% 2% 52%

M1 4.8GHz 5 4 3 3 4

M2 5.6GHz 6 5 3 3 4

M3 6.4GHz 7 6 4 4 5

三种机器的平均CPI和MIPS

CPIofM1=25%x5+10%x4+11%x3+2%x3+52%x4=4.12

CPIofM2=25%x6+10%x5+11%x3+2%x3+52%x4=4.47

CPIofM3=25%x7+10%x6+11%x4+2%x4+52%x5=5.47

MIPSofM1=4.8G / 4.12 =1165

MIPSofM2=5.6G / 4.47 = 1253

MIPSofM3=6.4 G / 5.47 = 1170

由此可见,数据存取改为双周期的做法效果较好。进一步把取指令改为双周期的做法反而使MIPS数变小了,所以不可取。因为数据存取只涉及到load/Store指令,而指令存取涉及到所有指令,使得CPI显著提高。

? 165 ?

15. 微程序控制器容量为1024×48位,微程序可在整个控存内实现转移,反映所有指令执行状

态转换的有限状态机中有4个分支点,微指令采用水平格式,微地址由专门的下地址字段确定。请设计微指令的格式,说明各字段有多少位?为什么?

参考答案:

微程序控制器容量为1024×48位,说明微地址占10位,微指令字共48位,其中10位下地址字段用来给出下条微地址;转移控制字段需要对5种情况进行控制,需3位。例如,000:取指令微指令首地址

100:根据分支1处的条件选择下条微地址

101:根据分支2处的条件选择下条微地址

110:根据分支3处的条件选择下条微地址

111:根据分支4处的条件选择下条微地址

剩下的48-10-3=35位用来表示微操作码字段。

(如果采用计数器法,则转移控制字段需要对6种情况进行控制,比上述5种情况多一种:即顺序执行下条微指令,此时,也要3位。)

也可以用5位作为转移控制字段, 33位作为微操作码字段

00001,00010,00100,01000,10000

? 166 ?

------------------其它

16. 对于多周期CPU的异常和中断处理,回答以下问题:

(1)对于除数为0、溢出、无效指令操作码、无效指令地址、无效数据地址、缺页、访问越权和外部中断,CPU在哪些指令的哪个时钟周期能分别检测到这些异常或中

断?

(2)在检测到某个异常或中断后,CPU通常要完成哪些工作?简要说明CPU如何完成这些工作?

(3)TLB缺失和cache缺失各在哪个指令的哪个时钟周期被检测到?如果检测到发生了TLB缺失和cache缺失,那么,CPU各要完成哪些工作?简要说明CPU如何完成这些

工作?(提示:TLB缺失可以有软件和硬件两种处理方式。)

部分参考答案:

a. “除数为0”异常在取数/译码(ID/Reg)周期进行检测

b. “溢出”异常在R-Type指令的执行(Exe)周期进行检测

c. “无效指令”异常在取数/译码(ID/Reg)周期进行检测

d. “无效指令地址”、“缺页”和“访问越权”异常在取指令(IF)周期检测

e. “无效数据地址”、“缺页”和“访问越权”异常在存储器访问(Mem)周期检测

f. “中断”可在每条指令的最后一个周期(WB)的最后进行检测

? 167 ?

11. 假定有一条MIPS伪指令“Bcmp $t1, $t2, $t3”,其功能是实现对两个主存块数据的比较,

$t1和$t2中分别存放两个主存块的首地址,$t3中存放数据块的长度,每个数据占四个字节,若所有数据都相等,则将0置入$t1;否则,将第一次出现不相等时的地址分别置入$t1和$t2并结束比较。若$t4和$t5是两个空闲寄存器,请给出实现该伪指令的指令序列,并说明在类似于P.185图6.32的多周期数据通路中执行该伪指令时要用多少时钟周期。

参考答案:

(1)实现伪指令“bcmp $t1, $t2, $t3”的指令序列如下。

beq $t3, $zero, done # 若数据块长度为0,则结束

compare: lw $t4, 0($t1) # 块1的当前数据取到$t4

lw $t5, 0($t2) # 块2的当前数据取到$t5

bne $t4, $t5, done # $t4和$t5的内容不等,则结束

addi $t1, $t1, 4 # 块1中的当前数据指向下一个

addi $t2, $t2, 4 # 块2中的当前数据指向下一个

addi $t3, $t3, -1 # 比较次数减1

bne $t3, $zero, compare # 若没有全部比较完,则继续比较

addi $t1, $zero, 0 # 若全部都相等,则将$t1置0

done:

(2)在多周期数据通路执行时,上述程序段中用到的指令beq、lw、bne和addi的时钟周期数分别为3、5、3和4。若比较的数据块大小为50个字,则上述指令序列中的循环(粗体部分)最多被执行50次,因而所需的指令数最多为1+50×7+1=352。其中,load指令为

50×2=100条,时钟周期数为5×100=500;branch指令数为1+2×50=101,时钟周期数为

3×101=303;addi指令数为1+3×50=151,时钟周期数为4×151=604。所以,总时钟周期数最多为500+303+604=1407。

? 168 ?

各大学教材课后习题答案网址

各大学教材课后习题答案网址 《线性代数》(同济第四版)课后习题答案(完整版) 高等数学(同济第五版)课后答案(PDF格式,共527页) 中国近现代史纲要课后题答案 曼昆《经济学原理》课后习题解答 21世纪大学英语读写教程(第三册)参考答案 谢希仁《计算机网络教程》(第五版)习题参考答案(共48页) 《概率论与数理统计》习题答案 http:// 《模拟电子技术基础》详细习题答案(童诗白,华成英版,高教版) 《机械设计》课后习题答案(高教版,第八版,西北工业大学) 《大学物理》完整习题答案 .com/viewthread.php?tid=217&fromuid=164951 《管理学》课后答案(周三多) 机械设计基础(第五版)习题答案[杨可桢等主编] 程守洙、江之永主编《普通物理学》(第五版)详细解答及辅导 .php?tid=3&fromuid=164951 新视野大学英语课本详解(四册全) 21世纪大学英语读写教程(第四册)课后答案 新视野大学英语读写教程3册的课后习题答案 1

新视野大学英语第四册答案(第二版) 《中国近现代史》选择题全集(共含250道题目和答案) 《电工学》课后习题答案(第六版,上册,秦曾煌主编) 完整的英文原版曼昆宏观、微观经济学答案 《数字电子技术基础》习题答案(阎石,第五版) 《电路》习题答案上(邱关源,第五版) 《电工学》习题答案(第六版,秦曾煌) https://www.wendangku.net/doc/c46616524.html,/viewthread.php?tid=112&fromuid=164951 21世纪大学英语读写教程(第三册)课文翻译 《生物化学》复习资料大全(3套试卷及答案+各章习题集) 《模拟电子技术基础》课后习题答案(共10章)ewthread.php?tid=21&fromuid=164951 《概率论与数理统计及其应用》课后答案(浙江大学盛骤谢式千编著)《理论力学》课后习题答案(赫桐生,高教版) 《全新版大学英语综合教程》(第四册)练习答案及课文译文viewthread.php?tid=78&fromuid=164951 《化工原理答案》课后习题答案(高教出版社,王志魁主编,第三版)《国际贸易》课后习题答案(海闻P.林德特王新奎) 大学英语综合教程1-4册练习答案 read.php?tid=1282&fromuid=164951 《流体力学》习题答案 《传热学》课后习题答案(第四版) 高等数学习题答案及提示

计算机组成原理试题及答案

A .(7CD )16 B. ( 7D0)16 C. (7E0)16 D. 3. 下列数中最大的数是 _______ 。 A .(10011001) 2 B. (227) 8 C. (98)16 4. ____ 表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是 A. BCD 码 B. 16 进制 C. 格雷码 6. 下列有关运算器的描述中, ______ 是正确的 A. 只做算术运算,不做逻辑运算 B. C. 能暂时存放运算结果 D. 7. EPROM 是指 ____ 。 A. 读写存储器 B. C. 可编程的只读存储器 D. 8. Intel80486 是 32位微处理器, Pentium 是A.16 B.32 C.48 D.64 9 .设]X ]补=1.XXX 3X 4,当满足 _________ ■寸,X > -1/2 成立。 A. X 1必须为1,X 2X 3X 4至少有一个为1 B. X 1必须为1 , X 2X 3X 4任意 C. X 1必须为0, X 2X 3X 4至少有一个为1 D. X 1必须为0, X 2X 3X 4任意 10. CPU 主要包括 _____ 。 A.控制器 B. 控制器、运算器、cache C.运算器和主存 D.控制器、ALU 和主存 11. 信息只用一条传输线 ,且采用脉冲传输的方式称为 _________ 。 A. 串行传输 B. 并行传输 C. 并串行传输 D. 分时传输 12. 以下四种类型指令中,执行时间最长的是 _________ 。 A. RR 型 B. RS 型 C. SS 型 D. 程序控制指令 13. 下列 _____ 属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D. 文本处理 14. 在主存和CPU 之间增加cache 存储器的目的是 _____ 。 A. 增加内存容量 B. 提高内存可靠性 C.解决CPU 和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速 度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用 ____________ 作为存储芯 片。 A. SRAM B. 闪速存储器 C. cache D. 辅助存储器 16. 设变址寄存器为X ,形式地址为D, (X )表示寄存器X 的内容,这种寻址方式的有 效地址为 ______ 。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为 ___________ 。 A. 隐含寻址 B. 立即寻址 C. 寄存器寻址 D. 直接寻址 18. 下述 I/O 控制方式中,主要由程序实现的是 ________ 。 7F0)16 D. ( 152)10 o D. ASC H 码 只做加法 既做算术运算,又做逻辑运算 只读存储器 光擦除可编程的只读存储器 位微处理器。

计算机组成原理期末试题及答案

第一章计算机系统概论 计算机的硬件是由有形的电子器件等构成的,它包括运算器、存储器、控制器、适配器、输入输出设备。早起将运算器和控制器合在一起称为CPU(中央处理器)。目前的CPU包含了存储器,因此称为中央处理器。存储程序并按地址顺序执行,这是冯·诺依曼型计算机的工作原理,也是CPU自动工作的关键。 计算机系统是一个有硬件、软件组成的多级层次结构,它通常由微程序级、一般程序级、操作系统级、汇编语言级、高级语言级组成,每一级上都能进行程序设计,且得到下面各级的支持。 习题:4冯·诺依曼型计算机的主要设计思想是什么它包括那些主要组成部分 主要设计思想是:存储程序通用电子计算机方案,主要组成部分有:运算器、逻辑控制装置、存储器、输入和输出设备 5什么是存储容量什么是单元地址什么是数据字什么是指令字 存储器所有存储单元的总数称为存储器的存储容量。每个存储单元都有编号,称为单元地址。如果某字代表要处理的数据,称为数据字。如果某字为一条指令,称为指令字 7指令和数据均存放在内存中,计算机如何区分它们是指令还是数据 每一个基本操作称为一条指令,而解算某一问题的一串指令序列,称为程序 第二章运算方法和运算器

按 对阶操作。 直接使用西文标准键盘输入汉字,进行处理,并显示打印汉字,是一项重大成就。为此要解决汉字的输入编码、汉字内码、子模码等三种不同用途的编码。 1第三章内部存储器 CPU能直接访问内存(cache、主 存) 双端口存储器和多模块交叉存储器属于并行存储器结构。 cache是一种高速缓冲存储器,是为了解决CPU和主存之间速度不匹配而采用的一项重要的硬件技术,并且发展为多级cache体系,指令cache与数据cache分设体 系。要求cache的命中率接近于1 适度地兼顾了二者的优点又尽量避免其缺点,从灵活性、命中率、硬件投资来说较为理想,因而得到了普遍采用。 习题:1设有一个具有20位地址和32位字长的存储器,问: (1)该存储器能存储多少个字节的信息

计算机组成原理 试卷含答案

湖南师范大学2012—2013学年第一学期信息与计算科学专业2011年级期末/ 补考/重修课程 计算机组成原理考核试题 出卷人:毛禄广 课程代码:考核方式: 考试时量:分钟试卷类型:A/B/C/D 一、单选题(30分,每题2分) 1. 算术逻辑单元的简称为()B A、CPU。 B、ALU。 C、CU。 D、MAR。 2. EPROM是指()D A.读写存储器 B.只读存储器 C.闪速存储器 D.可擦除可编程只读存储器 3. 异步通信的应答方式不包括()D A、不互锁 B、半互锁 C、全互锁 D、以上都不包括 4. 三种集中式总线仲裁中,______方式对电路故障最敏感。A A、链式查询 B. 计数器定时查询 C. 独立请求D、以上都不正确 5. 下面说法正确的是:()B A、存储系统层次结构主要体现在缓存-主存层次上; B、缓存-主存层次主要解决CPU和主存速度不匹配的问题; C、主存和缓存之间的数据调动对程序员也是不透明的; D、主存和辅存之间的数据调动由硬件单独完成。 6. 动态RAM的刷新不包括( ) D A、集中刷新 B、分散刷新 C、异步刷新 D、同步刷新 7. 关于程序查询方式、中断方式、DMA方式说法错误的是()D A、程序查询方式使CPU和I/O设备处于串行工作状态,CPU工作效率不高; B、中断方式进一步提高了CPU的工作效率; C、三者中DMA方式中CPU的工作效率最高; D、以上都不正确。 第 1 页共5 页 8. 发生中断请求的条件不包括()D A.一条指令执行结束 B.一次I/O操作结束 C.机器内部发生故障 D.一次DMA操作结束 9. DMA的数据传送过程不包括()A A、初始化 B、预处理 C、数据传送 D、后处理 10. 下列数中最大的数为()B A.(10010101)2 B.(227)8 C.(96)8 D.(143)5 11. 设32位浮点数中,符号位为1位,阶码为8位,尾数位为23位,则它所能表示的最大规.格化正数为()B A +(2 – 2-23)×2+127B.[1+(1 – 2-23)]×2+127 C.+(2 – 223)×2+255D.2+127 -223 12. 定点运算中,现代计算机都采用_______做加减法运算。()B A、原码 B、补码 C、反码 D、移码 13._________中乘积的符号位在运算过程中自然形成。()C A、原码一位乘 B、原码两位乘 C、补码一位乘 D、以上都不是 14.设x为真值,x*为绝对值,则[-x*]补=[-x]补能否成立()C A、一定成立 B、不一定成立 C、不能成立 D、以上都不正确 15. 最少可以用几位二进制数即可表示任一5位长的十进制整数。()A A、17 B、16 C、15 D、18 二、填空题(共10分,每题1分) 1.总线控制分为判优控制和________。(通信控制) 2.总线通信常用四种方式________、异步通信、半同步通信、分离式通信。(同步通信) 3.按在计算机系统中的作用不同,存储器主要分为主存储器、辅助存储器、_________。(缓冲存 储器) 4.随机存取存储器按其存储信息的原理不同,可分为静态RAM和__________。(动态RAM) 5.I/O设备与主机交换信息的五种控制方式是程序查询方式、中断方式和、_________、I/O通道方 式和I/O处理机方式。(DMA方式) 6.程序查询方式中为了完成查询需要执行的三条指令分别为测试指令、________、转移指令。(传 送指令) 7.浮点数由阶码和________两部分组成。(尾数) 8.二进制数-0.1101的补码为__________。(10011) 9._______是补码一位乘中乘数符号为负时的方法。(校正法)

(完整版)计算机组成原理期末考试试题及答案

计算机组成原理试题 一、选择题(共20分,每题1分) 1.零地址运算指令在指令格式中不给出操作数地址,它的操作数来自____C__。 A.立即数和栈顶; B.暂存器; C.栈顶和次栈顶; D.累加器。 2.___C___可区分存储单元中存放的是指令还是数据。 A.存储器; B.运算器; C.控制器; D.用户。 3.所谓三总线结构的计算机是指_B_____。 A.地址线、数据线和控制线三组传输线。 B.I/O总线、主存总统和DMA总线三组传输线; C.I/O总线、主存总线和系统总线三组传输线; D.设备总线、主存总线和控制总线三组传输线.。 4.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻址范围是_____B_。 A.128K; B.64K; C.64KB; D.128KB。 5.主机与设备传送数据时,采用___A___,主机与设备是串行工作的。 A.程序查询方式; B.中断方式; C.DMA方式; D.通道。 6.在整数定点机中,下述第___B___种说法是正确的。 A.原码和反码不能表示-1,补码可以表示-1; B.三种机器数均可表示-1; C.三种机器数均可表示-1,且三种机器数的表示范围相同; D.三种机器数均不可表示-1。 7.变址寻址方式中,操作数的有效地址是___C___。 A.基址寄存器内容加上形式地址(位移量); B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址; D.以上都不对。 8.向量中断是___C___。 A.外设提出中断; B.由硬件形成中断服务程序入口地址; C.由硬件形成向量地址,再由向量地址找到中断服务程序入口地址

最新大学物理实验教材课后思考题答案

大学物理实验教材课后思考题答案 一、转动惯量: 1.由于采用了气垫装置,这使得气垫摆摆轮在摆动过程中受到的空气粘滞阻尼力矩降低至最小程度,可以忽略不计。但如果考虑这种阻尼的存在,试问它对气垫摆的摆动(如频率等)有无影响?在摆轮摆动中,阻尼力矩是否保持不变? 答:如果考虑空气粘滞阻尼力矩的存在,气垫摆摆动时频率减小,振幅会变小。(或者说对频率有影响, 对振幅有影响) 在摆轮摆动中,阻尼力矩会越变越小。 2.为什么圆环的内、外径只需单次测量?实验中对转动惯量的测量精度影响最大的是哪些因素? 答:圆环的内、外径相对圆柱的直径大很多,使用相同的测量工具测量时,相对误差较小,故只需单次测 量即可。(对测量结果影响大小) 实验中对转动惯量测量影响最大的因素是周期的测量。(或者阻尼力矩的影响、摆轮是否正常、平稳的摆动、物体摆放位置是否合适、摆轮摆动的角度是否合适等) 3.试总结用气垫摆测量物体转动惯量的方法有什么基本特点? 答:原理清晰、结论简单、设计巧妙、测量方便、最大限度的减小了阻尼力矩。 三、混沌思考题 1. 精品文档

有程序(各种语言皆可)、K值的取值范围、图 +5分 有程序没有K值范围和图 +2分 只有K值范围 +1分 有图和K值范围 +2分 2.(1).混沌具有内在的随机性:从确定性非线性系统的演化过程看,它们在混沌区的行为都表现出随机不确定性。然而这种不确定性不是来源于外部环境的随机因素对系统运动的影响,而是系统自发 精品文档

精品文档 产生的 (2).混沌具有分形的性质(3).混沌具有标度不变性(4).混沌现象还具有对初始条件的敏感依赖性:对具有内在随机性的混沌系统而言,从两个非常接近的初值出发的两个轨线在 经过长时间演化之后,可能变得相距“足够”远,表现出对初值的极端敏感,即所谓“失之毫厘,谬之千里”。 答对2条以上+1分,否则不给分,只举例的不给分。 四、半导体PN 结 (1)用集成运算放大器组成电流一电压变换器测量11610~10--A 电流,有哪些优点? 答:具有输入阻抗低、电流灵敏度高、温漂小、线性好、设计制作简单、结构牢靠等优点。 (2)本实验在测量PN 结温度时,应该注意哪些问题? 答:在记录数据开始和结束时,同时都要记录下干井中温度θ,取温度平均值θ。 (3)在用基本函数进行曲线拟合求经验公式时,如何检验哪一种函数式拟合得最好,或者拟合的经验公式最符合实验规律? 答:运用最小二乘法,将实验数据分别代入线性回归、指数回归、乘幂回归这三种常用的基本函数,然后求出衡量各回归方程好坏的拟合度R 2。拟合度最接近于1的函数,拟合得最好。 五、地磁场 (1)磁阻传感器和霍耳传感器在工作原理有什么区别? 答:前者是磁场变化引起材料阻值变化,最终使得电桥外接电压转变为对应的输出电压;后者是磁场变化引起流经材料内部的载流子发生偏转而产生电压。 (2)为何坡莫合金磁阻传感器遇到较强磁场时,其灵敏度会降低?用什么方法来恢复其原来的灵敏度? 答:传感器遇到强磁场感应时,对应的磁阻材料将产生磁畴饱和现象,外加磁场很难改变磁阻材料的

计算机组成原理试题集

1.数字电子计算机的主要特点是存储容量大、(运算速度快),(运算精度高)。 2.计算机各组成部件相互连接方式,从早期的以(存储器)为中心,发展到现在以(运算器)为中心。 3.指令寄存器寄存的是(C ) A、下一条要执行的指令 B、已执行完了的指令 C 、正在执行的指令D、要转移的指令 4.衡量计算机的性能指标主要有哪些(答主要的三项指标),并说明为什么? 解:衡量计算机性能的指标主要有:计算速度、存储容量和通讯带宽等,计算机速度是反映CPU性能,也是反映计算机能力的主要指标之一。存储容量反映出计算机可以处理的数据量空间的大小。带宽反映出计算机处理信息的通讯能力。 5,决定指令执行顺序的寄存器是(PC),而记录指令执行结果的状态的寄存器是(状态字寄存器) 6.最早提出“存储程序程序”概念的是(A ) A、Babbage B、V.Neumann C、Pascal D、Bell 7.如何理解计算机组成和计算机体系结构? 8.第一台电子计算机(ENIAC)是于1946年交付使用。 9.单地址指令中为了实现两个数的算术运算,除地址码指明的一个操作数外,另一个采用(隐含)寻址方法。 10.假定指令系统有m条指令,指令操作码的位数为N位,则N至少应当等于()。 11.用n+1位字长(含一位符号位)表示原码定点整数时,所能表示的数值范围是(0﹤﹦N );用n+1位字长(含一位符号位)表示原码定点小数时,所能表示的数值范围是() 1. CPU包括()两部分。 A、ALU和累加器 B、ALU和控制器 C、运算器和控制器 D、ALU和主存储器 C 2. 计算机运算速度的单位是()。 A、MTBF B、MIPS C、MHZ D、MB B 3. 若十六进数微AC.B,则其十进制数为()。 A、254.54 B、2763 C、172.6875 D、172.625 C 4. 若十进制数据为137.5则其八进制数为()。 A、89.8 B、211.4 C、211.5 D、1011111.101

计算机组成原理试题及答案

二、填空题 1 字符信息是符号数据,属于处理(非数值)领域的问题,国际上采用的字符系统是七单位的(ASCII)码。P23 2 按IEEE754标准,一个32位浮点数由符号位S(1位)、阶码E(8位)、尾数M(23位)三个域组成。其中阶码E的值等于指数的真值(e)加上一个固定的偏移值(127)。P17 3 双端口存储器和多模块交叉存储器属于并行存储器结构,其中前者采用(空间)并行技术,后者采用(时间)并行技术。P86 4 衡量总线性能的重要指标是(总线带宽),它定义为总线本身所能达到的最高传输速率,单位是(MB/s)。P185 5 在计算机术语中,将ALU控制器和()存储器合在一起称为()。 6 数的真值变成机器码可采用原码表示法,反码表示法,(补码)表示法,(移码)表示法。P19-P21 7 广泛使用的(SRAM)和(DRAM)都是半导体随机读写存储器。前者的速度比后者快,但集成度不如后者高。P67 8 反映主存速度指标的三个术语是存取时间、(存储周期)和(存储器带宽)。P67 9 形成指令地址的方法称为指令寻址,通常是(顺序)寻址,遇到转移指令时(跳跃)寻址。P112 10 CPU从(主存中)取出一条指令并执行这条指令的时间和称为(指令周期)。 11 定点32位字长的字,采用2的补码形式表示时,一个字所能表示

的整数范围是(-2的31次方到2的31次方减1 )。P20 12 IEEE754标准规定的64位浮点数格式中,符号位为1位,阶码为11位,尾数为52位,则它能表示的最大规格化正数为(+[1+(1-2 )]×2 )。 13 浮点加、减法运算的步骤是(0操作处理)、(比较阶码大小并完成对阶)、(尾数进行加或减运算)、(结果规格化并进行舍入处理)、(溢出处理)。P54 14 某计算机字长32位,其存储容量为64MB,若按字编址,它的存储系统的地址线至少需要(14)条。64×1024KB=2048KB(寻址范32围)=2048×8(化为字的形式)=214 15一个组相联映射的Cache,有128块,每组4块,主存共有16384块,每块64个字,则主存地址共(20)位,其中主存字块标记应为(9)位,组地址应为(5)位,Cache地址共(13)位。 16 CPU存取出一条指令并执行该指令的时间叫(指令周期),它通常包含若干个(CPU周期),而后者又包含若干个(时钟周期)。P131 17 计算机系统的层次结构从下至上可分为五级,即微程序设计级(或逻辑电路级)、一般机器级、操作系统级、(汇编语言)级、(高级语言)级。P13 18十进制数在计算机内有两种表示形式:(字符串)形式和(压缩的十进制数串)形式。前者主要用在非数值计算的应用领域,后者用于直接完成十进制数的算术运算。P19 19一个定点数由符号位和数值域两部分组成。按小数点位置不同,

计算机组成原理期末考试试题及答案

计算机组成原理期末考试试题及答案 文稿归稿存档编号:[KKUY-KKIO69-OTM243-OLUI129-G00I-FDQS58-

计算机组成原理试题 一、选择题(共20分,每题1分) 1.零地址运算指令在指令格式中不给出操作数地址,它的操作数来自______。 A.立即数和栈顶; B.暂存器; C.栈顶和次栈顶; D.累加器。 2.______可区分存储单元中存放的是指令还是数据。 A.存储器; B.运算器; C.控制器; D.用户。 3.所谓三总线结构的计算机是指______。 A.地址线、数据线和控制线三组传输线。 B.I/O总线、主存总统和DMA总线三组传输线; C.I/O总线、主存总线和系统总线三组传输线; D.设备总线、主存总线和控制总线三组传输线.。 4.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻址范围是______。 A.128K; B.64K; C.64KB; D.128KB。 5.主机与设备传送数据时,采用______,主机与设备是串行工作的。 A.程序查询方式; B.中断方式; C.DMA方式; D.通道。 6.在整数定点机中,下述第______种说法是正确的。

A.原码和反码不能表示-1,补码可以表示-1; B.三种机器数均可表示-1; C.三种机器数均可表示-1,且三种机器数的表示范围相 同; D.三种机器数均不可表示-1。 7.变址寻址方式中,操作数的有效地址是______。 A.基址寄存器内容加上形式地址(位移量); B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址; D.以上都不对。 8.向量中断是______。 A.外设提出中断; B.由硬件形成中断服务程序入口地址; C.由硬件形成向量地址,再由向量地址找到中断服务程序入口地址 D.以上都不对。 9.一个节拍信号的宽度是指______。 A.指令周期; B.机器周期; C.时钟周期; D.存储周期。 10.将微程序存储在EPROM中的控制器是______控制器。 A.静态微程序; B.毫微程序; C.动态微程序; D.微程序。 11.隐指令是指______。 A.操作数隐含在操作码中的指令; B.在一个机器周期里完成全部操作的指令; C.指令系统中已有的指令;

大学教材课后习题答案免费下载链接下部

大学教材课后习题答案免费下载链接 (上中下)190-290 本资料由https://www.wendangku.net/doc/c46616524.html,上网购返利网分享汽车理论习题答案(考研_作业).pdf→→ https://www.wendangku.net/doc/c46616524.html,/s/1zobam 汽车理论第五版_课后习题答案(正确).pdf→→ https://www.wendangku.net/doc/c46616524.html,/s/1o67DaHk 波动习题答案.pdf→→https://www.wendangku.net/doc/c46616524.html,/s/1pJDGFyj 泵与风机课后习题答案.pdf→→https://www.wendangku.net/doc/c46616524.html,/s/1gdBph3H 流体力学习题解答李晓燕吴邦喜.pdf→→ https://www.wendangku.net/doc/c46616524.html,/s/1qWM2gAo 液压与气压传动习题答案.pdf→→ https://www.wendangku.net/doc/c46616524.html,/s/1bnksUmV 物理化学第五版习题解答(上下册).pdf→→ https://www.wendangku.net/doc/c46616524.html,/s/1sjvvFPj 物理学教程第二版马文蔚下册课后答案完整版_cropped.pdf→→https://www.wendangku.net/doc/c46616524.html,/s/1sj98Mct 物理学第五版上册习题答案.pdf→→ https://www.wendangku.net/doc/c46616524.html,/s/1jG1F9NS 王勖成《有限单元法》1-5章课后习题答案.pdf→→ https://www.wendangku.net/doc/c46616524.html,/s/1nt8vc3B 理论力学教程_第三版_周衍柏_课后习题答案_总汇(1).pdf→→

理论力学教程_第三版_周衍柏_课后习题答案_总汇.pdf→→https://www.wendangku.net/doc/c46616524.html,/s/1eQABmxW 电力系统分析课后习题答案.pdf→→ https://www.wendangku.net/doc/c46616524.html,/s/1bngpktD 电动力学习题答案chapter5.pdf→→ https://www.wendangku.net/doc/c46616524.html,/s/1pJ7AZ5x 电子商务法律与法规综合复习题与答案.pdf→→ https://www.wendangku.net/doc/c46616524.html,/s/1c0nEFUo 电子测量技术基础课后习题答案上1,2,5,6,7,8.pdf→→https://www.wendangku.net/doc/c46616524.html,/s/1hq3f7Is 电子线路习题答案梁明理版.pdf→→ https://www.wendangku.net/doc/c46616524.html,/s/1bn5rEIr 电工学简明教程(第二版)学习辅导与习题解答.pdf→→https://www.wendangku.net/doc/c46616524.html,/s/1mgHQ6xi 电机与拖动基础第三版李发海答案(全).pdf→→https://www.wendangku.net/doc/c46616524.html,/s/1dD25KyP 电气测试技术第三版_课后习题答案%28林德杰%29.pdf→→https://www.wendangku.net/doc/c46616524.html,/s/1jGwVRE2 电磁场与电磁波习题答案 (6).pdf→→ https://www.wendangku.net/doc/c46616524.html,/s/1bnrK3pX 电磁场与电磁波习题答案 (7).pdf→→

计算机组成原理试题

计算机组成原理试题(A) 教学中心名称考点成绩 专业、班级姓名学号 一、填空题(每空1分,共10分) 1.计算机中的信息可分为两类,它们是信息和信息。 2.第二代电子数字计算机所用的基本器件是。 3.设X=-9/16,[X]补= 。 4.运算器中的核心部件是。 5.浮点表示法中,阶码决定浮点数的,尾数决定浮点数的。 6.CPU中PC的主要功能是。 7.按照信息的传送格式,接口可分为和两大类。 二、选择题(每小题2分,共20分) 1. 某主存储器按字节编址,地址线数目为16,这个存储器的容量为 . A 16K×16位B.32K×8位、C.64K ×8位 2.采用DMA方式传送数据时,每传送一个数据就要占用的时间。 A一个指令周期B.一个存储周期C.一个机器周期 3. Cache是。 A.主存的一部分 B.为扩大存储容量而设置的 C.为提高存储系统的速度而设置的 4.操作控制器的功能是。 A产生操作控制信号,以解释并执行指令 B、产生时序信号C.对指令泽码 5.中断响应时,保存PC并更新PC的内容,主要是为了. A.提高处理机的速度 B.能进入中断处理程字并能正确返回原程序 C.便于编制中断处理程序 6.计算机辅助设计是指。 A.CAD B.CAI C.CAT 7.某机字长32位,内存容量为4MW,若按字节编址,其寻址范围为. A.0~4M B。0~16M C.0~32M 8.在磁盘存储器中,与转速无关的技术指标是。 A.存储密度B.平均等待时间C.数据传输率 9.设指令中的形式地址为以相对寻址时,操作数的有效地址E=. A.(D)B.(PC)+D C.(R)+D

10.计算机中,执行部件接控制部件的命令所作的不可再分的操作称为. A.微命令B.微操作C操作 三.判断改错题(每小题2分,共10分。正确,在括号内打√;错误,则打×并更正) 1.磁盘存储器是一种随机存取存储器。() 2.零地址指令就是没有操作数的指令。() 3.时序发生器是控制器的主要部件之一。() 4.设X=10110110,采奇校验时,其校验位C=1。() 5.中断处理过程中,保存现场必须在中断服务之后进行。() 四.简答题(每小题10分,共40分) 1.CPU由哪些主要部件组成?说明各部件的作用。 2.试述高速缓冲存储器的基本设计思想和特点。 3.主机与外部设备间为什么要设置接口? 4.为什么说取指令是公操作?在取指令阶段,CPU主要完成哪些操作? 五.计算题(共10 分) 1.设X=0.0101,Y=-0.1101,用双符号补码计算X+Y=?和X-Y=?并判断其结果是否溢出。(5分) 2. 设X=8C3E(H),Y=B6DF(H),Z=54D2(H)。求X∧Y⊕Z=? (5分) 七.设计题(10分) 某机字长16 位,主存按字编址,容量为8MW,请用如下RAM芯片为该机设计一个主存。 A A0 07 1.地址线和数据线各有多少根? 2.共用多少这种芯片? 3.画出其组成框图,并正确标出各信号线。

计算机组成原理练习题-答案

一、填空题 1.对存储器的要求是速度快,_容量大_____,_价位低_____。为了解决这方面的矛盾,计算机采用多级存储体系结构。 2.指令系统是表征一台计算机__性能__的重要因素,它的____格式__和___功能___不仅直接影响到机器的硬件结构而且也影响到系统软件。 3.CPU中至少有如下六类寄存器__指令____寄存器,__程序_计数器,_地址__寄存器,通用寄存器,状态条件寄存器,缓冲寄存器。 4.完成一条指令一般分为取指周期和执行周期,前者完成取指令和分析指令操作,后者完成执行指令操作。 5.常见的数据传送类指令的功能可实现寄存器和寄存器之间,或寄存器和存储器之间的数据传送。 6.微指令格式可分为垂直型和水平型两类,其中垂直型微指令用较长的微程序结构换取较短的微指令结构。 7.对于一条隐含寻址的算术运算指令,其指令字中不明确给出操作数的地址,其中一个操作数通常隐含在累加器中 8.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为 2^127(1-2^-23) ,最小正数为 2^-129 ,最大负数为 2^-128(-2^-1-2^-23) ,最小负数为 -2^127 。 9.某小数定点机,字长8位(含1位符号位),当机器数分别采用原码、补码和反码时,其对应的真值范围分别是 -127/128 ~+127/128 -1 ~+127/128 -127/128 ~+127/128 (均用十进制表示)。 10.在DMA方式中,CPU和DMA控制器通常采用三种方法来分时使用主存,它们是停止CPU访问主存、周期挪用和DMA和CPU交替访问主存。 11.设 n = 8 (不包括符号位),则原码一位乘需做 8 次移位和最多 8 次加法,补码Booth算法需做 8 次移位和最多 9 次加法。 12.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为,最小正数为,最大负数为,最小负数为。 13.一个总线传输周期包括申请分配阶段、寻址阶段、传输阶段和结束阶段四个阶段。 14.CPU采用同步控制方式时,控制器使用机器周期和节拍组成的多极时序系统。

计算机组成原理期末试题

1.刷新存储器的重要性能指标是它的带宽。若显示工作方式采用分辨率为1024*768,颜色深度24位,帧频(刷新速度)为72Hz,求: 1)刷新存储器的容量是多少 2)刷新存储器的带宽是多少 1)刷新存储器的容量= 1024*768 * 24bit= 2)帧频(刷新速度)为72Hz指的是:每秒钟读72次, 所以,刷新存储器的带宽=1024*768 * 24bit *72 次/秒=162MB/s 2.试推导磁盘存储器读写一块信息所需要的总时间 读写一块总时间TB=平均找道时间Ts+平均等待时间Tw +读写传输时间Tt 读写一块总时间TB=平均找道时间+平均等待时间+读写传输时间=Ts+Tw+Tt 1)Tw 设磁盘每秒r转,每条磁道N个字,则数据传输率=rN个字/秒 转一周的时间=1/r,所以 Tw =1/2*(1/r)=1/(2r) 2)Tt 又设每块的字数是n,一旦读写头定位在该块,则Tt≈n/(rN)秒 所以TB=Ts+ 1/(2r)+ n/(rN) 秒 3.采用串行接口进行7位ASCII码传送,带有一位奇偶校验位、一位起始位和一位停止位,当波特9600波特时,字符传送率是 9600波特=9600bit/秒 =9600 bit*(1字符/10bit)/秒 =960字符/秒 4.某总线在一个总线周期中并行传送8个字节的信息,设一个总线周期等于一个总线时钟周期,总线时钟频率为70MHz,求总线带宽 Dr=8字节/T秒=8*70*10^6≈420MB/秒 5.某机器CPU中有16个寄存器,运行某中断处理程序时,仅用到其中的2个寄存器,请问响应中断而进入该中断处理程序时是否将通用寄存器内容保存到主存中去需保存几个寄存器 要将通用寄存器内容保存到主存中去。 只要保存中断处理程序用到的那2个寄存器的内容。 1.已知cache的存储周期是40ns,主存存储周期200ns, cache/主存系统平均50ns,求cache的命中率访问n个字,设命中率为H cache/主存系统的平均访问时间 =命中cache的时间+不命中cache的主存访问时间 =H*Tc+(1-H)*Tm =H*40+(1-H)*200 =50

大学物理学(第三版)课后习题参考答案

习题1 1.1选择题 (1) 一运动质点在某瞬时位于矢径),(y x r 的端点处,其速度大小为 (A)dt dr (B)dt r d (C)dt r d | | (D) 22)()(dt dy dt dx [答案:D] (2) 一质点作直线运动,某时刻的瞬时速度s m v /2 ,瞬时加速度2 /2s m a ,则一秒钟后质点的速度 (A)等于零 (B)等于-2m/s (C)等于2m/s (D)不能确定。 [答案:D] (3) 一质点沿半径为R 的圆周作匀速率运动,每t 秒转一圈,在2t 时间间隔中,其平均速度大小和平均速率大小分别为 (A) t R t R 2, 2 (B) t R 2,0 (C) 0,0 (D) 0,2t R [答案:B] 1.2填空题 (1) 一质点,以1 s m 的匀速率作半径为5m 的圆周运动,则该质点在5s 内,位移的大小 是 ;经过的路程是 。 [答案: 10m ; 5πm] (2) 一质点沿x 方向运动,其加速度随时间的变化关系为a=3+2t (SI),如果初始时刻质点的速度v 0为5m·s -1,则当t 为3s 时,质点的速度v= 。 [答案: 23m·s -1 ] (3) 轮船在水上以相对于水的速度1V 航行,水流速度为2V ,一人相对于甲板以速度3V 行走。如人相对于岸静止,则1V 、2V 和3V 的关系是 。 [答案: 0321 V V V ]

1.3 一个物体能否被看作质点,你认为主要由以下三个因素中哪个因素决定: (1) 物体的大小和形状; (2) 物体的内部结构; (3) 所研究问题的性质。 解:只有当物体的尺寸远小于其运动范围时才可忽略其大小的影响,因此主要由所研究问题的性质决定。 1.4 下面几个质点运动学方程,哪个是匀变速直线运动? (1)x=4t-3;(2)x=-4t 3+3t 2+6;(3)x=-2t 2+8t+4;(4)x=2/t 2-4/t 。 给出这个匀变速直线运动在t=3s 时的速度和加速度,并说明该时刻运动是加速的还是减速的。(x 单位为m ,t 单位为s ) 解:匀变速直线运动即加速度为不等于零的常数时的运动。加速度又是位移对时间的两阶导数。于是可得(3)为匀变速直线运动。 其速度和加速度表达式分别为 2 2484 dx v t dt d x a dt t=3s 时的速度和加速度分别为v =20m/s ,a =4m/s 2。因加速度为正所以是加速的。 1.5 在以下几种运动中,质点的切向加速度、法向加速度以及加速度哪些为零哪些不为零? (1) 匀速直线运动;(2) 匀速曲线运动;(3) 变速直线运动;(4) 变速曲线运动。 解:(1) 质点作匀速直线运动时,其切向加速度、法向加速度及加速度均为零; (2) 质点作匀速曲线运动时,其切向加速度为零,法向加速度和加速度均不为零; (3) 质点作变速直线运动时,其法向加速度为零,切向加速度和加速度均不为零; (4) 质点作变速曲线运动时,其切向加速度、法向加速度及加速度均不为零。 1.6 |r |与r 有无不同?t d d r 和d d r t 有无不同? t d d v 和t d d v 有无不同?其不同在哪里?试举例说明. 解:(1)r 是位移的模, r 是位矢的模的增量,即r 12r r ,12r r r ; (2) t d d r 是速度的模,即t d d r v t s d d . t r d d 只是速度在径向上的分量. ∵有r r ?r (式中r ?叫做单位矢),则 t ?r ?t r t d d d d d d r r r 式中 t r d d 就是速度在径向上的分量,

计算机组成原理试卷与答案

一、选择题 1.假定下列字符码中有奇偶校验位,但没有数据错误,采用偶校校 验的字符码是______。 A 11001011 B 11010110 C 11000001 D 11001001 2.8位定点字长的字,采用2的补码表示时,一个字所能表示的整 数范围是______。 A .–128 ~ +127 B. –127 ~ +127 C. –129 ~ +128 D.-128 ~ +128 3.下面浮点运算器的描述中正确的句子是:______。 a)浮点运算器可用阶码部件和尾数部件实现 b)阶码部件可实现加、减、乘、除四种运算 c)阶码部件只进行阶码相加、相减和比较操作 d)尾数部件只进行乘法和减法运算 4.某计算机字长16位,它的存贮容量是64KB,若按字编址,那 么它的寻址范围是______ A. 64K B. 32K C. 64KB D. 32 KB 5.双端口存储器在______情况下会发生读/写冲突。 a)左端口与右端口的地址码不同 b)左端口与右端口的地址码相同 c)左端口与右端口的数据码不同 d)左端口与右端口的数据码相同

6.寄存器间接寻址方式中,操作数处在______。 A. 通用寄存器 B. 主存单元 C. 程序计数器 D. 堆栈 7.微程序控制器中,机器指令与微指令的关系是______。 a)每一条机器指令由一条微指令来执行 b)每一条机器指令由一段微指令编写的微程序来解释执行 c)每一条机器指令组成的程序可由一条微指令来执行 d)一条微指令由若干条机器指令组 8.按其数据流的传递过程和控制节拍来看,阵列乘法器可认为是 ______。 a)全串行运算的乘法器 b)全并行运算的乘法器 c)串—并行运算的乘法器 d)并—串型运算的乘法器 9.由于CPU内部的操作速度较快,而CPU访问一次主存所花的 时间较长,因此机器周期通常用______来规定。 a)主存中读取一个指令字的最短时间 b)主存中读取一个数据字的最长时间 c)主存中写入一个数据字的平均时间 d)主存中读取一个数据字的平均时间 10.程序控制类指令的功能是______。 A 进行算术运算和逻辑运算 B 进行主存与CPU之间的数据传送

计算机组成原理典型例题讲解

分析设计计算: 1.CPU结构如图1所示,其中有一个累加寄存器AC,一个状态条件寄存器,各部分之间的连线表示数据通路,箭头表示信息传送方向。 (1)标明图中四个寄存器的名称。 (2)简述指令从主存取到控制器的数据通路。 (3)简述数据在运算器和主存之间进行存/ 取访问的数据通路。 图1 解: (1)a为数据缓冲寄存器DR ,b为指令寄存器IR ,c为主存地址寄存器,d为程序计数器PC。 (2)主存M →缓冲寄存器DR →指令寄存器IR →操作控制器。 (3)存贮器读:M →缓冲寄存器DR →ALU →AC 存贮器写:AC →缓冲寄存器DR →M

2. 某机器中,配有一个ROM芯片,地址空间0000H—3FFFH。现在再用几个16K×8的芯片构成一个32K×8的RAM区域,使其地址空间为8000H—FFFFH。假设此RAM芯片有/CS和/WE信号控制端。CPU地址总线为A15—A0,数据总线为D7—D0,控制信号为R//W,MREQ(存储器请求),当且仅当MREQ 和R//W同时有效时,CPU才能对有存储器进行读(或写)。 (1)满足已知条件的存储器,画出地址码方案。 (2)画出此CPU与上述ROM芯片和RAM芯片的连接图。 解:存储器地址空间分布如图1所示,分三组,每组16K×8位。 由此可得存储器方案要点如下: (1)用两片16K*8 RAM芯片位进行串联连接,构成32K*8的RAM区域。片内地址:A0——A13,片选地址为:A14——A15; (2)译码使用2 :4 译码器; (3)用/MREQ 作为2 :4译码器使能控制端,该信号低电平(有效)时,译码器工作。 (4)CPU的R / /W信号与RAM的/WE端连接,当R // W = 1时存储器执行读操作,当R // W = 0时,存储器执行写操作。如图1 0000 3FFF 8000

【精品】计算机组成原理期末考试简答题重点

一、简答题 1、试述浮点数规格化的目的和方法。 答:浮点的规格化是为了使浮点数尾数的最高数值位为有效数位。当尾数用补码表示时,若符号位与小数点后的第一位不相等,则被定义为已规格化的数,否则便是非规格化数。通过规格化,可以保证运算数据的精度。 方法:进行向左规格化,尾数左移一位,阶码减1,直到规格化完毕。 2、简述循环冗余码(CRC)的纠错原理。 答:CRC码是一种纠错能力较强的校验码。在进行校验时,先将被检数据码的多项式用 生成多项式G(X)来除,若余数为0,说明数据正确;若余数不为0,则说明被检数据有错。 只要正确选择多项式G(X),余数与CRC码出错位位置的对应关系是一定的,由此可以 用余数作为判断出错位置的依据而纠正出错的数据位。 3、DRAM存储器为什么要刷新?有几种刷新方式? DRAM存储元是通过栅极电容存储电荷来暂存信息。由于存储的信息电荷终究是有泄漏的,电荷数又不能像 SRAM存储元那样由电源经负载管来补充,时间一长,信息就会丢失。为此必须设法由外界按一定规律给栅 极充电,按需要补给栅极电容的信息电荷,此过程叫“刷新”。 ①集中式---正常读/写操作与刷新操作分开进行,刷新集中完成。 ②分散式---将一个存储系统周期分成两个时间片,分时进行正常读/写操作和刷新操作。 ③异步式---前两种方式的结合,每隔一段时间刷新一次,保证在刷新周期内对整个存储器 刷新一遍。 4、CPU中有哪些主要寄存器?简述这些寄存器的功能。 (1)指令寄存器(IR):用来保存当前正在执行的一条指令。 (2)程序计数器(PC):用来确定下一条指令的地址。 (3)地址寄存器(AR):用来保存当前CPU所访问的内存单元的地址。 (4)缓冲寄存器(DR): <1>作为CPU和内存、外部设备之间信息传送的中转站。 <2>补偿CPU和内存、外围设备之间在操作速度上的差别。 <3>在单累加器结构的运算器中,缓冲寄存器还可兼作为操作数寄存器。 (5)通用寄存器(AC):当运算器的算术逻辑单元(ALU)执行全部算术和逻辑运算时,为ALU提供一个工作区。 (6)状态条件寄存器:保存由算术指令和逻辑指令运行或测试的结果建立的各种条件码内容。除此之外,还保存中断和系统工作状态等信息,以便使CPU和系统能及时了解机器运行状态和程序运行状态。 5、中断处理过程包括哪些操作步骤? 关闭中断标识,重要数据入栈, 处理中断服务功能, 数据出栈, 恢复中断标识, 开中断.

相关文档
相关文档 最新文档