文档库 最新最全的文档下载
当前位置:文档库 › 北邮小学期电路综合实验

北邮小学期电路综合实验

北邮小学期电路综合实验
北邮小学期电路综合实验

北邮小学期串行口数据传

输的仿真

及硬件实现

第*组

班级:**********

姓名:*** ***

指导老师:***

一、实验目的:

串行口数据传输是数字系统中常用的一种数据传输方式。本次课程设计要求学生综合数字逻辑电路和串行口通信的有关知识,用硬件独立设计完成一个简单的串行口数据传输系统,并用FPGA可编程逻辑器件进行仿真。

二、实验仪器

1 双踪示波器 1台

2 直流稳压电源 1台

3 频率计 1台

4 数字万用表 1台

5 面包板 1台

三、实验内容与设计:

1、实验内容:一个简单的串行口数据传输系统的系统框图如下:

由图可见,系统分为发送端,接收端两部分。发送端主要是同步字符、结束字符、时钟电路和信息码发生器。

接收端包括串/并转换电路、字符检测电路、控制电路、锁存接收和显示电路。

2、各部分功能的端口设置:

(1)时钟电路:晶振的时钟输入信号、通过分频后提供系统使用的输出信号。(2)信号发生电路:时钟电路发出的时钟信号输入端、生成的序列输出端。

(3)串并转换电路:时钟信号输入、串行序列输入端、并行序列输出端。

(4)字符检测电路:若采用并行检测,有并行数据输入端、检测信号输出端;

若采用串行检测,有串行数据接入端、时钟信号输入端、

检测信号输出端。

(5)控制电路:时钟信号输如端、检测信号输入端、控制信号输出端。

(6)锁存电路:控制信号输入端、并行数据输入端、并行数据输出端。

(7)显示电路:并行数据输入端。

3、各部分的逻辑设计:

(1)时钟电路设计:

由于晶振产生的时钟频率为1MHz,而为了显示稳定,需要的时钟频率为2Hz以下,所以需要分频。本次设计采用的是同步计数器来进行分频,输出端为Qa~Qd,分频系数为2N (N为端口数)。每个74LS163最多为24=16分频,而需要的分频系数:106÷2=5×105≈219。所以需要5块~芯片用作分频。具体电路图如下:

所得的输出频率约为2Hz.

(2)序列信号发生器设计:

本次实验需要产生的序列为15位循环码“111100010011010”,通过检验可知,此序列产生的15个4位序列不互相重复,因此可以用4个D触发器来构造序列发生器。此序列信号发生器的反馈电路可以通过“与”、“或”、“非”逻辑门或数据选择器实现。本次实验中,我们使用了数据选择器。

状态表及卡诺图如下所示:

为使系统能够自启动,令φ=1。

使用Q4Q3作为数据选择器的输入,有C0=,C1=1,C2=1,C3=0

故序列信号发生器的电路图设计如下所

仿真的波形图如下:

因为系统需要7位并行输出,所以串并转换电路可由两块移位寄存器74LS194级联构成。电路图如下:

(4)串行字符检测电路的设计:

串行字符检测实际就是依次对字符进行检测,符合同步码的输出1,否则输出0。但若对7位同步码都进行检测,需要的触发器较多,电路将非常复杂。通过观察发现同步码后4位1000在整个序列中是唯一的,因此实验中通过检测1000来达到检测同步码的效果。检测电路可通过状态机来实现。

状态转移图如下所示:

对A、B、C、D进行编码: A 00,B 01,C 11,D 10

Z

由卡诺图可得:

D2=Q2n+1=Q1

D1=Q1n+1=Q1+D

Z=Q2··

可用D触发器实现,电路图如下所示:

(5)并行字符检测电路的设计:

并行字符检测,即将原序列经串并转换电路后产生的并行信号与同步码1111000相比较,当与同步码相同时,即产生一个高电平。此检测电路可通过两个比较器实现,比较时应

由低位到高位依次进行。本实验采用了两个数据比较器74LS85级联的方式。

电路图如下所示:

(6)控制电路的设计:

字符检测电路若检测到同步码就会对控制电路输出一个信号,控制电路对此信号做出反应,以此来控制锁存电路的开关。检测信号通过控制电路使锁存器开启,此后检测信号对锁存器的影响就不存在了。

电路图如下所示:

(7)锁存电路的设计:

锁存电路比较简单,只需要一块74LS273锁存芯片就可以。只要把7个数据输入端分别连接到串并转换电路的并行输出端,再将控制电路的输出端接到锁存器的时钟输入端即可。电路如下所示:

(8)显示电路的设计:

将锁存器的7个输出端接到数码显示管的7个管脚即可实现显示电路。要注意的是,数码管的abcdefg七个管脚分别对应数据的高位到低位。

3、总电路图:

1、串行:

2、并行:

1、串行代码:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity cxing is --定义实体名为cxing

port(

clk_in:in std_logic;

clk_out:buffer std_logic;

c_out:out std_logic_vector(6 downto 0));

end cxing;

architecture a of cxing is --定义结构体名为a

signal tmp1:integer range 0 to 999999;

signal tmp2:integer range 0 to 14;

signal clk,f,q_out,q,cp_control,control:std_logic;

type state_type is(s0,s1,s2,s3,s4);

signal current_state,next_state:state_type;

signal tmp:std_logic_vector(6 downto 0);

begin

p1:process(clk_in) --分频器1MHz分为2Hz

begin

if clk_in'event and clk_in='1' then

if tmp1=999999 then

tmp1<=0;

else

tmp1<=tmp1+1;

end if;

if tmp1=500000 then

clk<='1';

else

clk<='0';

end if;

end if;

end process p1;

clk_out<=clk;

p2:process(clk) --函数信号发生器111100********* begin

if clk'event and clk='1' then

if tmp2=14 then

tmp2<=0;

else

tmp2<=tmp2+1;

end if;

end if;

end process p2;

p3:process(tmp2)

begin

case tmp2 is

when 4|5|6|8|9|12|14=>q_out<='0';

when others=>q_out<='1';

end case;

end process p3;

p4:process(current_state,q_out) --串行检测begin

case current_state is

when s0=>if(q_out='1')then next_state<=s1;

else next_state<=s0; end if;

when s1=>if(q_out='1')then next_state<=s2;

else next_state<=s1; end if;

when s2=>if(q_out='0')then next_state<=s3;

else next_state<=s1; end if;

when s3=>if(q_out='0')then next_state<=s4;

else next_state<=s1; end if;

when s4=>if(q_out='0')then next_state<=s0;

else next_state<=s1; end if;

end case;

end process;

p5:process(clk)

begin

if(clk'event and clk='1') then

current_state<=next_state;

end if;

end process;

p6:process(current_state)

begin

case current_state is

when s0=>f<='0';

when s1=>f<='0';

when s2=>f<='0';

when s3=>f<='0';

when s4=>f<='1';

end case;

end process;

cp_control<=clk or q;

p7:process --控制信号begin

wait until cp_control='1';

q<=f;

end process;

control<=q and clk;

p8:process(clk) --串并转换begin

if clk'event and clk='1' then

tmp(6)<=tmp(5);

tmp(5)<=tmp(4);

tmp(4)<=tmp(3);

tmp(3)<=tmp(2);

tmp(2)<=tmp(1);

tmp(1)<=tmp(0);

tmp(0)<=q_out;

end if;

end process;

p9:process(control) --锁存器输出

begin

if control'event and control='1' then

c_out(6)<=not tmp(6);

c_out(5)<=not tmp(5);

c_out(4)<=not tmp(4);

c_out(3)<=not tmp(3);

c_out(2)<=not tmp(2);

c_out(1)<=not tmp(1);

c_out(0)<=not tmp(0);

end if;

end process;

end a;

2、并行代码

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity bxing is --定义实体名为bxing

port(

clk_in:in std_logic;

clk_out:buffer std_logic;

c_out:out std_logic_vector(6 downto 0));

end bxing;

architecture b of bxing is --定义结构体名为b

signal tmp1:integer range 0 to 999999;

signal tmp2:integer range 0 to 14;

signal clk,f,q_out,q,cp_control,control,a,b,c,d:std_logic;

signal tmp:std_logic_vector(7 downto 0);

begin

p1:process(clk_in) --分频器1MHz分为2Hz begin

if clk_in'event and clk_in='1' then

if tmp1=999999 then

tmp1<=0;

else

tmp1<=tmp1+1;

end if;

if tmp1=500000 then

clk<='1';

else

clk<='0';

end if;

end if;

end process p1;

clk_out<=clk;

p2:process(clk) --函数信号发生器111100********* begin

if clk'event and clk='1' then

if tmp2=14 then

tmp2<=0;

else

tmp2<=tmp2+1;

end if;

end if;

end process p2;

p3:process(tmp2)

begin

case tmp2 is

when 4|5|6|8|9|12|14=>q_out<='0';

when others=>q_out<='1';

end case;

end process p3;

p4:process(clk) --串并转换

begin

if clk'event and clk='1' then

tmp(7)<=tmp(6);

tmp(6)<=tmp(5);

tmp(5)<=tmp(4);

tmp(4)<=tmp(3);

tmp(3)<=tmp(2);

tmp(2)<=tmp(1);

tmp(1)<=tmp(0);

tmp(0)<=q_out;

end if;

end process;

p5:process (tmp(0),tmp(1),tmp(2),tmp(3)) --并行检测begin

if (tmp(0)='0') then

a<='1';

else a<='0';

end if;

if(tmp(1)='0') then

b<='1';

else b<='0';

end if;

if(tmp(2)='0') then

c<='1';

else c<='0';

end if;

if(tmp(3)='1') then

d<='1';

else d<='0';

end if;

end process;

f<=a and b and c and d;

cp_control<=clk or q;

p6:process --控制信号begin

wait until cp_control='1';

q<=f;

end process;

control<=q and clk;

p7:process(control) --锁存器输出begin

if control'event and control='0' then

c_out(6)<=not tmp(7);

c_out(5)<=not tmp(6);

c_out(4)<=not tmp(5);

c_out(3)<=not tmp(4);

c_out(2)<=not tmp(3);

北京邮电大学 模拟电路实验

矿石收音机论坛?〓基础知识普及〓?面包板及其使用法 面包板及其使用法 面包板及其使用法 编者注:为了提高青少年的电子技术素养,促进学生全面发展,培养创业意识和创造技能,本刊(无线电)特约多年从事科普教育的特级教师,北京市有特殊贡献的专家孙心若撰写“电子控制技术入门”系列文章。他根据丰富的电子技术、发明创造教学体验,结合青少年的身心特点,进行有趣的“做中学”和“学中做”电路实验,引导青少年由表及里、由浅入深、循序渐进,获得“操作”体验,熏陶科学情感、发展技术能力,特别提供电子技术发展信息,增强创新意识并为他们展示创造能力营造条件。在内容选择上以电子控制技术内容为中心,以基本电路实验为基础,以数字集成电路为重点,并涉及实验所必需的基本理论及技能技巧,同时介绍青少年感兴趣的一些电子器件、小制作和小发明实例。配刊光盘中将用活动图像的形式讲解和演示这些电路实验的过程和现象,光盘中还加入了一些生活中的应用实例。 一、什么是"面包板"? 1.面包板的构造 面包板即"集成电路实验板",就是一种插件板,此"板"上具有若干小型"插座(孔)".在进行电路实验时,可以根据电路连接要求,在相应孔内插入电子元器件的引脚以及导线等,使其与孔内弹性接触簧片接触,由此连接成所需的实验电路。图1为SYB—118型面包板示意图: 为4行59列,每条金属簧片上有5个插孔,因此插入这5个孔内的导线就被金属簧片连接在一起。簧片之间在电气上彼此绝缘。插孔间及簧片间的距离均与双列直插式(DIP)集成电路管脚的标准间距2.54mm相同,因而适于插入各种数字集成电路。 2.面包板使用注意事项 插入面包板上孔内引脚或导线铜芯直径为0.4~0.6mm,即比大头针的直径略微细一点。元器件引脚或导线头要沿面包板的板面垂直方向插入方孔,应能感觉到有轻微、均匀的摩擦阻力,在面包板倒置时,元器件应能被簧片夹住而不脱落。面包板应该在通风、干燥处存放,特别要避免被电池漏出的电解液所腐蚀。要保持面包板清洁,焊接过的元器件不要插在面包板上。 3.面包板实验套材

北邮数字电路综合实验报告

数字电路综合实验报告 简易智能密码锁 一、实验课题及任务要求 设计并实现一个数字密码锁,密码锁有四位数字密码和一个确认开锁按键,密码输入正确,密码锁打开,密码输入错误进行警示。 基本要求: 1、密码设置:通过键盘进行4 位数字密码设定输入,在数码管上显示所输入数字。通过密码设置确定键(BTN 键)进行锁定。 2、开锁:在闭锁状态下,可以输入密码开锁,且每输入一位密码,在数码管上显示“-”,提示已输入密码的位数。输入四位核对密码后,按“开锁”键,若密码正确则系统开锁,若密码错误系统仍然处于闭锁状态,并用蜂鸣器或led 闪烁报警。 3、在开锁状态下,可以通过密码复位键(BTN 键)来清除密码,恢复初始密码“0000”。闭锁状态下不能清除密码。 4、用点阵显示开锁和闭锁状态。 提高要求: 1、输入密码数字由右向左依次显示,即:每输入一数字显示在最右边的数码管上,同时将先前输入的所有数字向左移动一位。 2、密码锁的密码位数(4~6 位)可调。

3、自拟其它功能。 二、系统设计 2.1系统总体框图 2.2逻辑流程图

2.3MDS图 2.4分块说明 程序主要分为6个模块:键盘模块,数码管模块,点阵模块,报警模块,防抖模块,控制模块。以下进行详细介绍。 1.键盘模块 本模块主要完成是4×4键盘扫描,然后获取其键值,并对其进行编码,从而进行按键的识别,并将相应的按键值进行显示。 键盘扫描的实现过程如下:对于4×4键盘,通常连接为4行、4列,因此要识别按键,只需要知道是哪一行和哪一列即可,为了完成这一识别过程,我们的思想是,首先固定输出高电平,在读入输出的行值时,通常高电平会被低电平拉低,当当前位置为高电平“1”时,没有按键按下,否则,如果读入的4行有一位为低电平,那么对应的该行肯定有一个按键按下,这样便可以获取到按键的行值。同理,获取列值也是如此,先输出4列为高电平,然后在输出4行为低电平,再读入列值,如果其中有哪一位为低电平,那么肯定对应的那一列有按键按下。由此可确定按键位置。

9、北邮电子电路模拟题及答案电子教案

9、北邮2017年电子电路模拟题及答案

北京邮电大学电子电路(802)模拟试题 模拟部份 一:判断题(每小题2分,共10分) (1)在N型半导体中如果掺入足够量的三价元素,可将其改型为P型半导体。() (2)因为N型半导体的多子是自由电子,所以它带负电。() (3)PN结在无光照、无外加电压时,结电流为零。() (4)处于放大状态的晶体管,集电极电流是多子漂移运动形成的。()(5)在正弦波振荡电路中,若电路结构和参数已经给定,并可以稳定的产生正弦振荡,则其振荡频率是唯一的。() 二:选择填空题(每小题2分,共20分) (1)对于放大电路,所谓开环是指。 A.无信号源 B.无反馈通路 C.无电源 D.无负载 而所谓闭环是指。 A.考虑信号源内阻 B.存在反馈通路 C.接入电源 D.接入负载 (2)在输入量不变的情况下,若引入反馈后,则说明引入的反馈是负反馈。 A.输入电阻增大 B.输出量增大 C.净输入量增大 D.净输入量减小 (3)直流负反馈是指。 A.直接耦合放大电路中所引入的负反馈

B.只有放大直流信号时才有的负反馈 流 C.在直流通路中的负反馈 (4)交负反馈是指。 A.阻容耦合放大电路中所引入的负反馈 B.只有放大交流信号时才有的负反馈 C.在交流通路中的负反馈 (5)为了避免50Hz电网电压的干扰进入放大器,应选用滤波电路。(6)已知输入信号的频率为10kHz~12kHz,为了防止干扰信号的混入,应选用滤波电路。 (7)为了获得输入电压中的低频信号,应选用滤波电路。 A带阻 B带通 C低通 (8) 理想运算放大器的两个输入端的输入电流等于零,其原因是()。 A 同相端和反相端的输入电流相等而相位相反 B 运放的差模输入电阻接近无穷大 C 运放的开环电压放大倍数接近无穷大 (9)在运算放大器电路中,引入深度负反馈的目的之一是使运放 ( )。 A 工作在线性区,降低稳定性 B 工作在非线性区,提高稳定性 C 工作在线性区,提高稳定性

10、北邮2017年电子电路冲刺题及答案

北京邮电大学电子电路(802)模拟试题 模拟部份 一、填空题(共15分,每空0.5分) 1.电子技术分为模拟电子技术和数字电子技术两大部分,其中研究在平滑、连续变化的电 压或电流信号下工作的电子电路及其技术,称为【1】电子技术。 2.PN 结反向偏置时,PN 结的内电场【2】。PN 具有【3】特性。 3.硅二极管导通后,其管压降是恒定的,且不随电流而改变,典型值为【4】伏;其门坎电压V th 约为【5】伏。 4.为了保证三极管工作在放大区,要求: ①发射结【6】偏置,集电结【7】偏置。 ②对于NPN型三极管,应使VBC 【8】。 5.放大器级间耦合方式主要有阻容(RC )耦合、直接耦合和【9】耦合三大类。 6.在三极管组成的三种不同组态的放大电路中,共射和共基组态有电压放大作用,【10】组态有电流放大作用,【11】组态有倒相作用;【12】组态带负载能力强,【13】组态向信号源索取的电流小,【14】组态的频率响应好。 7.场效应管是【15】器件,只依靠【16】导电。 8.石英晶体振荡器是【17】的特殊形式,因而振荡频率具有很高的稳定性。 9.将交流电变换成脉动直流电的电路称为整流电路;半波整流电路输出的直流电压平均值等于输入的交流电压(即变压器副边电压)有效值的【18】倍;全波整流电路输出的直流电压平均值等于输入的交流电压(即变压器副边电压)有效值的【19】倍。 10.差动放大电路中的长尾电阻Re 或恒流管的作用是引人一个【20】反馈。(1分) 11.为了分别达到下列要求,应引人何种类型的反馈: ①降低电路对信号源索取的电流:【21】。 ②当环境温度变化或换用不同值的三极管时,要求放大电路的静态工作点保持稳定:【22】。 ③稳定输出电流:【23】。 12.在构成电压比较器时集成运放工作在开环或【24】状态。 13.某负反馈放大电路的开环放大倍数A=100000,反馈系数F=0.01,则闭环放大倍数 【25】。 14.差分式放大电路能放大直流和交流信号,它对【26】具有放大能力,它对【27】具有抑 制能力。 15.乙类功放的主要优点是【28】,但出现交越失真,克服交越失真的方法是【29】。 二、单项选择题(每小题1分,共10分) 1.在本征半导体中掺入( )构成P 型半导体。 β≈

北邮通电实验报告

实验3 集成乘法器幅度调制电路 信息与通信工程学院 2016211112班 苏晓玥杨宇宁 2016210349 2016210350

一.实验目的 1.通过实验了解振幅调制的工作原理。 2.掌握用MC1496来实现AM和DSB的方法,并研究已调波与调制信号,载波之间的关系。3.掌握用示波器测量调幅系数的方法。 二.实验准备 1.本实验时应具备的知识点 (1)幅度调制 (2)用模拟乘法器实现幅度调制 (3)MC1496四象限模拟相乘器 2.本实验时所用到的仪器 (1)③号实验板《调幅与功率放大器电路》 (2)示波器 (3)万用表 (4)直流稳压电源 (5)高频信号源 三.实验内容 1.模拟相乘调幅器的输入失调电压调节。 2.用示波器观察正常调幅波(AM)波形,并测量其调幅系数。 3.用示波器观察平衡调幅波(抑制载波的双边带波形DSB)波形。 四.实验波形记录、说明 1.DSB信号波形观察

2.DSB信号反相点观察 3.DSB信号波形与载波波形的相位比较 结论:在调制信号正半周期间,两者同相;负半周期间,两者反相。

4.AM正常波形观测 5.过调制时的AM波形观察(1)调制度为100%

(2)调制度大于100% (3)调制度为30% A=260.0mv B=140.0mv

五.实验结论 我们通过实验了解振幅调制的工作原理是:调幅调制就是用低频调制信号去控制高频振荡(载波)的幅度,使其成为带有低频信息的调幅波。目前由于集成电路的发展,集成模拟相乘器得到广泛的应用,为此本实验采用价格较低廉的MC1496集成模拟相乘器来实现调幅之功能。 DSB信号波形与载波波形的相位关系是:在调制信号正半周期间,两者同相;负半周期间,两者反相。 通过实验了解到了调制度的计算方法 六.课程心得体会 通过本次实验,我们了解了振幅调制的工作原理并掌握了实现AM和DSB的方法,学会计算调制度,具体见实验结论。我们对集成乘法器幅度调制电路有了更好的了解,对他有了更深入的认识,提高了对通信电子电路的兴趣。 和模电实验的单独进行,通电实验增强了团队配合的能力,两个人的有效分工提高了实验的效率,减少了一个人的独自苦恼。

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

电子电路测量实验(北邮)

北京邮电大学 电子电路综合设计实验 实验报告 课题名称:函数信号发生器 院系:电子工程学院

摘要 本实验的目的在于使用集成运算放大器设计一个方波—三角波—正弦波发生器。其中,由施密特触发器组成的多谐振荡器产生方波,再经积分运算电路产生三角波。最后,经过差分放大器,利用晶体管的非线性特性将三角波变换为正弦波。并要求波形达到一定的幅值、频率等要求。 关键词 函数信号发生器方波三角波正弦波集成运放 正文 一、设计任务要求 1基本要求 (1)信号输出频率在1~10kHz范围内连续可调,无明显失真。 (2)方波信号输出电压U opp=12V(误差≤20%),上升、下降沿小于10us,占空比范围为30%~70%。 (3)三角波信号输出电压U opp=8V(误差≤20%)。 (4)正弦波信号输出电压U opp≥1V 2提高要求 (1)将输出方波改为占空比可调的矩形波,占空比可挑范围为30%‐70%;

(2)三种波形的输出峰峰值U opp均可在1V-10V 范围内连续可调。 3+ 二、实验原理及设计过程 1总体思路 函数信号发生器的构成方法多样。本实验来看,可以先产生方波,由方波积分得到三角波,在由三角波经过整形得到正弦波;也可以先产生正弦波,将正弦波进行整形得到方波,在通过积分器产生三角波。在器件使用上,可以是分立元件电路,也可以采用集成电路。 根据提供的器材和资料,选择设计由集成运算放大器和晶体管放大器构成的方波—三角波—正弦波发生电路(如下图二)。 2原理结构框图 三、Multisim仿真过程及波形输出 1元器件选择

(1)方波—三角波发生电路 (最终电路见附录) ●芯片选择:对比uA741CP与LM318N的相关参数。选择转换速度较快 的LM318N作为矩形波发生电路的芯片,uA741CP作为三角波发生电路的芯片。 ●稳压管选择:根据方波U opp =12V,方波幅度限制在-(U Z+U D)~+(U Z+U D), 故选择稳压值为U Z =6V的稳压管。查阅资料,在Multisim中选择 1N4734A单稳压管,放置为稳压对管。 ●电阻电容选择: 根据方波和三角波输出峰峰值的要求(12V、8V),R f和R1的取值应 满足R f:R1=3:2。为使电路易起振,在这里取R f=30kΩ,R1=20kΩ。 根据直流平衡电阻的计算原理,得R3=(30||20)kΩ=12kΩ。 根据方波输出幅度选择限流电阻R o=2kΩ。 同时在三角波电路中,由公式R2C=αR f /4f R1 计算得R2=5kΩ,C=0.01 μF。 根据直流平衡电阻的计算方法,得R4=R2 =5kΩ。 为达到频率的可调范围,选择R p1=100kΩ的滑动变阻器。

北邮电子电路实验函数信号发生器实验报告教材

北京邮电大学 电子电路综合设计实验实验报告 实验题目:函数信号发生器 院系:信息与通信工程学院 班级: 姓名: 学号: 班内序号:

一、课题名称: 函数信号发生器的设计 二、摘要: 方波-三角波产生电路主要有运放组成,其中由施密特触发器多谐振荡器产生方波,积分电路将方波转化为三角波,差分电路实现三角波-正弦波的变换。该电路振荡频率由第一个电位器调节,输出方波幅度的大小由稳压管的稳压值决定;正弦波幅度和电路的对称性分别由后两个电位器调节。 关键词:方波三角波正弦波频率可调幅度 三、设计任务要求: 1.基本要求: 设计制作一个方波-三角波-正弦波信号发生器,供电电源为±12V。 1)输出频率能在1-10KHZ范围内连续可调; 2)方波输出电压Uopp=12V(误差<20%),上升、下降沿小于10us; 3)三角波输出信号电压Uopp=8V(误差<20%); 4)正弦波信号输出电压Uopp≥1V,无明显失真。 2.提高要求: 1)正弦波、三角波和方波的输出信号的峰峰值Uopp均在1~10V范围内连续可调; 2)将输出方波改为占空比可调的矩形波,占空比可调范围30%--70% 四、设计思路 1. 结构框图 实验设计函数发生器实现方波、三角波和正弦波的输出,其可采用电路图有多种。此次 实验采用迟滞比较器生成方波,RC积分器生成三角波,差分放大器生成正弦波。除保证良 好波形输出外,还须实现频率、幅度、占空比的调节,即须在基本电路基础上进行改良。 由比较器与积分器组成的方波三角波发生器,比较器输出的方波信号经积分器生成三角

波,再经由差分放大器生成正弦波信号。其中方波三角波生成电路为基本电路,添加电位器调节使其频率幅度改变;正弦波生成电路采用差分放大器,由于差分放大电路具有工作点稳定、输入阻抗高、抗干扰能力较强等优点,特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。 2.系统的组成框图 五、分块电路与总体电路的设计 1.方波—三角波产生电路 电源电路 方波-三角波 发生电路 正弦波发生电路 方波输出 三角波输出 正弦波输出

北京邮电大学《电子电路》真题2009年

北京邮电大学《电子电路》真题2009年 (总分:61.00,做题时间:90分钟) 一、{{B}}选择题{{/B}}(总题数:18,分数:40.00) 1.(473)10的BCD码是______。 ? A.010********* ? B.111011010 ? C.110001110011 ? D.010********* (分数:2.00) A. √ B. C. D. 解析: 2.触发器的时钟输入的作用是______。 ? A.复位 ? B.使输出状态取决于输入控制信号 ? C.置位 ? D.改变输出状态 (分数:2.00) A. B. √ C. D. 解析: 3.一个8位移位寄存器的移位脉冲的频率是1MHz,将8位二进制数并行地移入这个移位寄存器需要______。 ? A.经过8个触发器的传输延迟时间 ? B.8μs ? C.经过1个触发器的传输延迟时间 ? D.1μs (分数:2.00) A. B. C. D. √ 解析: 4.在时序电路的状态转换表中,若状态数N=3,则状态变量数最少为______。

? A.16 ? B.4 ? C.8 ? D.2 (分数:2.00) A. B. C. D. √ 解析: 5.已知,其中+ABCD=0,化简后的逻辑函数为______。A. B. C. D (分数:2.00) A. B. C. D. √ 解析: 6.如图所示正脉冲的脉冲宽度、脉冲重复频率、脉冲占空比为______。 ? A.t p、1/T、t p/T ? B.t p、1/T、t p/(T-t p) ? C.t p、1/T、(T-t p)/r ? D.t p、T、t p/(T-t p) (分数:2.00) A. √ B. C. D. 解析: 7.若用万用表测试图所示晶体管开关电路,当晶体管截止时,测得的基极和集电极电位应是______。 ? A.u BE=0.6V,u CE=1.5V ? B.u BE=0V,u cE=2.5V ? C.u BE=0.7V,u CE=0.3V ? D.u BE≤0V,u CE=3.2V (分数:2.00) A. B. √

北邮数字电路与逻辑设计实验-实验报告(上)

北京邮电大学电路实验中心<数字电路与逻辑设计实验(上)> 实 验 报 告 班级: xxx 学院: xxx 实验室: xxx 审阅教师:姓名(班内序号): xxx)学号: 2xxx 实验时间: xxxx 评定成绩:

目录 实验1 Quartus II 原理图输入法设计与实现 (3) 一、实验目的 (3) 二、实验所用器材 (3) 三、实验任务要求 (3) 四、实验原理图 (3) 五、实验仿真波形图及分析 (4) 实验2 用VHDL 设计与实现组合逻辑电路 (5) 一、实验目的 (5) 二、实验所用器材 (5) 三、实验任务要求 (5) 四、VHDL代码 (5) 五、实验仿真波形图及分析 (7) 实验3 用VHDL 设计与实现时序逻辑电路 (8) 一、实验目的 (8) 二、实验所用器材 (8) 三、实验任务要求 (8) 四、模块端口说明及连接图 (8) 五、VHDL代码 (9) 六、实验仿真波形图及分析 (10) 实验4 用VHDL 设计与实现数码管动态扫描控制器 (10) 一、实验目的 (10) 二、实验所用器材 (11) 三、实验任务要求 (11) 四、模块端口说明及连接图 (11) 五、VHDL代码 (11) 六、实验仿真波形图及分析 (15) 故障及问题分析 (16) 总结和结论 (17)

实验1 Quartus II 原理图输入法设计与实现 一、实验目的 (1)熟悉用Quartus II原理图输入法进行电路设计和仿真; (2)掌握Quartus II 图形模块单元的生成与调用; (3)熟悉实验板的使用。 二、实验所用器材 (1)计算机; (2)直流稳压电源; (3)数字系统与逻辑设计实验开发板。 三、实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块单元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数+CBA,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 四、实验原理图 (1)半加器原理图 (2)全加器原理图

北京邮电大学电路实验报告-(小彩灯)

北京邮电大学电路实验报告-(小彩灯)

电子电路综合实验报告课题名称:基于运算放大器的彩灯显示电路的设计与实现 姓名:班级:学号: 一、摘要: 运用运算放大器设计一个彩灯显示电路,通过迟滞电压比较器和反向积分器构成方波—三角波发生器,三角波送入比较器与一系列直流电平比较,比较器输出端会分别输出高电平和低电平,从而顺序点亮或熄灭接在比较器输出端的发光管。 关键字: 模拟电路,高低电平,运算放大器,振荡,比较 二、设计任务要求: 利用运算放大器LM324设计一个彩灯显示电路,让排成一排的5个红色发光二极管(R1~R5)重复地依次点亮再依次熄灭(全灭→R1→R1R2→R1R2R3→R1R2R3R4→R1R2R3R4R5→R1R2R3R4→R1R2R3→R1R2→R1→全灭),同时让排成一排的6个绿色发光二极管(G1~G6)单光

三角波振荡电路可以采用如图2-28所示电路,这是一种常见的由集成运算放大器构成的方波和三角波发生器电路,图2-28中运放A1接成迟滞电压比较器,A2接成反相输入式积分器,积分器的输入电压取自迟滞电压比较器的输出,迟滞电压比较器的输入信号来自积分器的输出。假设迟滞电压比较器输出U o1初始值为高电平,该高电平经过积分器在U o2端得到线性下降的输出信号,此线性下降的信号又反馈至迟滞电压比较器的输入端,当其下降至比较器的下门限电压U th-时,比较器的输出发生跳变,由高电平跳变为低电平,该低电平经过积分器在U o2端得到线性上升的输出信号,此线性上升的信号又反馈至迟

滞电压比较器的输入端,当其上升至比较器的上门限电压U th+时,比较器的输出发生跳变,由低电平跳变为高电平,此后,不断重复上述过程,从而在迟滞电压比较器的输出端U o1得到方波信号,在反向积分器的输出端U o2得到三角波信号。假设稳压管反向击穿时的稳定电压为U Z,正向导通电压为U D,由理论分析可知,该电路方波和三角波的输出幅度分别为: 式(5)中R P2为电位器R P动头2端对地电阻,R P1为电位器1端对地的电阻。 由上述各式可知,该电路输出方波的幅度由稳压管的稳压值和正向导通电压决定,三角波的输 出幅度决定于稳压管的稳压值和正向导通电压以及反馈比R1/R f,而振荡频率与稳压管的稳压值和正向导通电压无关,因此,通过调换具有不同稳压值和正向 导通电压的稳压管可以成比例地改变方波和三角波的幅度而不改变振荡频率。 电位器的滑动比R P2/R P1和积分器的积分时间常数R2C的改变只影响振荡频率而 不影响振荡幅度,而反馈比R1/R f的改变会使振荡频率和振荡幅度同时发生变化。因此,一般用改变积分时间常数的方法进行频段的转换,用调节电位器滑动头 的位置来进行频段内的频率调节。

北邮数字电路综合实验报告——交通灯控制器的VHDL实现

数字电路综合实验报告 班级: 姓名: 班内序号: 学号: 日期:

目录 一、实验摘要 (3) 二、实验任务 (3) 1.任务要求 (3) 2.任务解析 (3) 三、实验设计思路 (4) 1.状态转移图 (4) 2.流程图 (5) 3.模块确定 (5) 4.系统框图 (7) 四、程序代码 (7) ⒈主程序 (7) ⒉分频模块 (9) ⒊防抖模块 (10) ⒋交通灯控制模块 (11) ⒌数字译码模块 (14) 五、实验结果 (15) 1.仿真结果 (15) 2.实物结果 (17) 六、所遇问题分析 (17) 七、实验总结 (18)

交通灯控制器的VHDL实现 一、实验摘要 随着交通情况的日益复杂,交通灯在生活中所处的位置也越来越高。本实验就是基于VHDL语言编程实现了十字路口的交通灯控制器。对于交通等控制器的设计是分模块自顶向下的设计思想,软硬件结合来实现本设计。 关键字:交通灯、VHDL、控制器 二、实验任务 1.任务要求 1)南北和东西方向各有一组绿、黄、红灯用于指挥交通,绿灯、黄灯和红灯的持续时间分别为20秒、5 秒和25秒; 2)当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制 器恢复原来状态,继续正常运行; 3)用两组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间; 2.任务解析 东西(A车道)和南北(B车道)方向各有一组绿、黄、红灯用于指挥交通(如图1),绿灯、黄灯和红灯的持续时间分别为20 秒、5 秒和25 秒。 图1 十字路口交通灯模型

因此,可以设计如下四个状态,其关系为: 状态 亮灯情况 车辆行驶状况 持续时 间(秒)下一状态A车道B车道 S0 红亮红亮紧急状况,A/B车道均禁止通行~ S1 S1 绿亮红亮A车道通行,B车道禁止通行20 S2 S2 黄亮红亮A车道缓行,B车道禁止通行 5 S3 S3 红亮绿亮A车道禁止通行,B车道通行20 S4 S4 红亮黄亮A车道禁止通行,B车道缓行 5 S1 三、实验设计思路 1.状态转移图 图2 状态转移图

北邮-电子电路综合设计实验(函数信号发生器)报告

电子电路综合设计实验报告 实验1 函数信号发生器的设计与实现 姓名:------ 学号:---------- 班内序号:--

一. 实验名称: 函数信号发生器的设计与调试 二.实验摘要: 采用运放组成的积分电路产生方波-三角波,可得到比较理想的方波和三角波。根据所需振荡频率的高低和对方波前后沿陡度的要求以及对所需方波、三角波的幅度可以确定合适的运放以及稳压管的型号、所需电阻的大小和电容的值。三角波-正弦波的转换是利用差分放大器来完成的,选取合适的滑动变阻器来调节三角波的幅度以及电路的对称性。同时利用隔直电容、滤波电容来改善输出正弦波的波形。 关键词: 方波三角波正弦波频率可调 三、设计任务要求 1.基本要求: (1)输出频率能在1-10KHz范围内连续可调,无明显失真; (2)方波输出电压Uopp=12V,上升、下降沿小于10us,占空比可调范围30%-70%; (3)三角波Uopp=8V; (4)正弦波Uopp错误!未找到引用源。1V. (5)设计该电路的电源电路(不要求实际搭建) 2.提高要求: (1)正弦波、三角波和方波输出波形的峰峰值Uopp均可在1V-10V内连续可调。 (2)三种输出波形的输出端口的输出阻抗小于100Ω。 (3)三种波形从同一端口输出,并能够显示当前输出信号的种类、大小和频率 (4)用CPLD设计DDS信号源 (5)其他函数信号发生器的设计方案 四、设计思路以及总体结构框图 本课题中函数发生器结构组成如下所示:由比较器和积分器组成方波—三角波产生电

路,比较器输出的方波经积分器得到三角波,三角波到正弦波的变换电路主要由差分放大器来完成。差分放大器具有工作点稳定,输入阻抗高,抗干扰能力较强等优点。特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。 图4-1 函数信号发生器的总体框图 五.分块电路和总体电路的设计 (1)方波——三角波产生电路 图5-1 方波-三角波产生电路

北邮-数电实验报告

北邮-数电实验报告

数字电路实验报告 学院:信息与通信工程 专业:信息工程 班级:2013211125 学号:2013210681 姓名:袁普

②:仿真波形图以及分析 波形图: 波形分析:通过分析ab ci三个输入在8中不同组合下的输出,发现与全加器的真值表吻合,说明实现了全加器的逻辑功能。同时看见波形中出现了毛刺(冒险),这也与事实一致。 ③:故障及问题分析 第一次在做全加器的时候发现找不到已经生成的半加器模块,后来发现是因为在建立工程时这两个项目没有建在同一个文件夹里,在调用的时候就找不到。后来我将全加器工程建在同一个文件夹里解决了此问题。

实验二:用VHDL设计和实现组合逻辑电路 一:实验要求 ①:用VHDL设计一个8421码转换为格雷码的代码转换器,仿真验证其功能。 ②:用VHDL设计一个4位二进制奇校验器,要求在为奇数个1时输出为1,偶数个1时输出为0,仿真验证其功能。 ③:用VHDL设计一个数码管译码器,仿真验证其功能,下载到实验板测试,要求用拨码开关设定输入信号,数码管显示输出信号,并且只使一个数码管有显示,其余为熄灭状态。 二:故障及问题分析 在刚开始实现让一个数码管显示的时候,我本来准备再设置6个输入和输出,通过实验板上的拨码来输入信息分别控制不同的数码管的的开闭状态,但是后来发现这样效率很低而且实验板上的拨码开关数量根本不够。在老师的提醒下,我最终在VHDL里直接增加了一个向量输出”011111”来直接控制cat0~5六个管脚,从而达到了实验的要求。

实验三:用VHDL设计和实现时序逻辑电路 一:实验要求 ①:用VHDL语言设计实现一个8421十进制计数器,要求有高电平复位功能,仿真验证其功能。 ②:用VHDL语言设计实现一个分频系数为12,输出为占空比50%方波的分频器,有高电平复位功能,仿真验证其功能。 ③:将(1),(2)和数码管译码器三个电路进行连接,仿真验证其功能,并下载到实验板进行测试,要求第三个数码管显示数字。二:报告内容 ①实验三(3)模块端口说明及模块代码 模块一:div12为一个有高电平复位功能的分频系数为12的分屏器,其输出是一个占空比50%的方波。此模块输入连接一个时钟输入,即可在输出端得到一个周期更大的方波输出。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity div12 is port( clear,clk:in std_logic; clk_out:out std_logic ); end div12; architecture struct of div12 is signal temp:integer range 0 to 5; signal clktmp:std_logic; begin process(clk,clear) begin if(clear='1') then

2016年北邮数电实验报告

数字电路与逻辑设计 实验报告 学院:电子工程学院 班级: 姓名: 学号: 班内序号:

目录 (一)实验名称及实验任务要求 (1) (二)模块端口说明及连接图 (2) 1.1实验三(3)模块端口说明 (2) 1.2实验三(3)连接图 (2) 2.1实验四模块端口说明 (2) 2.2实验四连接图 (2) (三)原理图或VHDL代码 (3) 1.实验一(2)原理图 (3) 2.实验三(3)VHDL代码 (4) 3.实验四VHDL代码 (7) (四)仿真波形 (10) 1.实验一(2)仿真波形 (10) 2.实验三(3)仿真波形 (11) 3.实验四仿真波形 (11) (五)仿真波形分析 (11) 1.实验一(2)仿真波形分析 (11) 2.实验三(3)仿真波形分析 (11) 3.实验四仿真波形分析 (11) (六)故障及问题分析 (12) (七)总结和结论 (13)

(一)实验名称及实验任务要求 实验一 名称:QuartusII原理图输入法设计与实现 实验任务要求:EDA基础实验1(1)、(2)、(3)必做,选做VHDL 实现加法器。 实验二 名称:用VHDL设计与实现组合逻辑电路 实验任务要求:四人表决器、8421码转格雷码、数码管译码器(下载测试)。 实验三 名称:用VHDL设计与实现时序逻辑电路 实验任务要求:分频器、8421十进制计数器、将分频器/8421十进制计数器/数码管译码器3个电路进行连接并下载。 实验四 名称:用VHDL设计与实现相关电路 实验任务要求:数码管动态扫描控制器、点阵扫描控制器。

(二)模块端口说明及连接图 1.1实验三(3)模块端口说明 cp:时钟信号输入; rst:8421十进制计数器异步置位; c[6...0]:七段二极管数码管显示; cat[7...0]:数码管显示。 1.2实验三(3)连接图 2.1实验四模块端口说明 cp:时钟信号输入; rst:8421计数器异步复位; lgt[6...0]:七段二极管数码管显示; cat[7...0]:数码管显示。 2.2实验四连接图

北邮通信电子电路实验指导书.pdf

通信电子电路实验指导书 电路实验中心 2016 年 4 月

目录 实验1单调谐回路谐振放大器 (2) 实验2双调谐回路谐振放大器 (8) 实验3集成乘法器幅度调制电路 (15) 实验4振幅解调器(包络检波) (23) 实验5振幅解调器(同步检波) (28) 附录高频信号发生器使用简介 (32)

实验1单调谐回路谐振放大器 —、实验准备 1.本实验时应具备的知识点 (1)放大器静态工作点 (2)LC并联谐振回路 (3)单调谐放大器幅频特性 2.本实验时所用到的仪器 (1)①号实验板《小信号调谐放大器电路》板 (2)⑤号实验板《元件库》板及库元件。 注意:元件库板与库元件一一对应,实验结束后,请对应放好,便于实验后 检查。 (3)双踪示波器(模拟) (4)电源 (5)高频信号发生器 (6)万用表 二、实验目的 1.熟悉电子元器件和高频电子线路实验系统; 2.掌握单调谐回路谐振放大器的基本工作原理; 3. 熟悉放大器静态工作点的测量方法; 4.熟悉放大器静态工作点和集电极负载对单调谐放大器幅频特性(包括电压增益、通频带、Q值)的影响; 5.掌握测量放大器幅频特性的方法。 三、实验内容 1.用万用表测量晶体管各点(对地)电压VB、VE、VC,并计算放大器静态工作点; 2.用示波器测量单调谐放大器的幅频特性; 3.用示波器观察静态工作点对单调谐放大器幅频特性的影响; 4.用示波器观察集电极负载对单调谐放大器幅频特性的影响。

四、基本原理 1.单调谐回路谐振放大器原理 小信号谐振放大器是通信接收机的前端电路,主要用于高频小信号或微弱信号的线性 放大和选频。单调谐回路谐振放大器原理电路如图1-1所示。图中,R B1、R B2、R E 用以保证晶 体管工作于放大区域,从而放大器工作于甲类。C E 是R E 的旁路电容,C B 、C C 是输入、输出耦 合电容,L 、C 是谐振回路,R C 是集电极(交流)电阻,它决定了回路Q 值、带宽。为了减轻 晶体管集电极电阻对回路Q 值的影响,采用了部分回路接入方式。 Ec Cc Rc L OUT Rb1 C Cb IN Q Rb2 Re Ce 图1-1 单调谐回路放大器原理电路

北邮数电实验报告

北京邮电大学 实验报告实验名称:数电电路与逻辑设计实验 学院:信息与通信工程学院 班级: 姓名: 学号: 班内序号: 日期:

一. 实验一:Quartus II 原理图输入法设计 1. 实验名称和实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块 元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号入信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数 F=A B C +A B C +A B C + A B C 。 2. 实验原理图及波形图 (1)半加器 (2)全加器

(3)74LS38 3.仿真波形图分析 (1)半加器: 输入为a,b,输出S,CO(进位)。 当ab都为0时,半加和s=0,进位端co=0。 当ab都为1时,半加和s=0,进位端co=1。 当a=1,b=0 或a=0,b=1时,半加和s=1,进位端co=0。 (2)全加器:

输入a,b,输出S,CO(进位),ci(低进位)。 当a=0,b=0,ci=0,输出s=0,co=0。 当a=0,b=1或a=1,b=0又 ci=0,输出s=1,co=0。 当a=0,b=0,ci=1,输出s=1,co=0。 (3)74LS138 输入A,B,C,输出为3。 四个输出对应F中的四个最小项,Y0、Y2、Y4、Y7,以实现函数功能。 二.实验二:用 VHDL 设计与实现组合逻辑电路 1.实验名称和实验任务要求 (1)用VHDL语言设计实现一个共阴极7段数码管译码器,仿真验证其功能。要求用拨码开关设定输入信号,7段数码管显示输出信号。 (2) 用VHDL语言设计实现一个8421码转换为余3码的代码转换器,仿真验证其功能。要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3) 用VHDL语言设计实现一个4位二进制奇校验器,输入奇数个’1’时,输出为’1’,否则输出’0’,仿真验证其功能。要求用拨码开关设定输入信号,发光二极管显示输出信号。 2.实验代码及波形图 (1)共阴极7段数码管译码器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY GUAN IS PORT(A:IN STD_LOGIC_VECTOR(3 DOWNTO 0); B:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); C:OUT STD_LOGIC_VECTOR(5 DOWNTO 0)); END GUAN; ARCHITECTURE encoder_arch OF GUAN IS BEGIN PROCESS(A) BEGIN C<="011111"; CASE A IS WHEN"0000"=> B<="1111110";--0 WHEN"0001"=> B<="0110000";--1 WHEN"0010"=> B<="1101101";--2 WHEN"0011"=> B<="1111001";--3 WHEN"0100"=> B<="0110011";--4 WHEN"0101"=> B<="1011011";--5 WHEN"0110"=> B<="1011111";--6 WHEN"0111"=> B<="1110000";--7 WHEN"1000"=> B<="1111111";--8 WHEN"1001"=> B<="1111011";--9

北邮802电子电路考试大纲

2011年硕士研究生考试大纲802电子电路模拟部分 一、考试要求 要求学生系统地掌握模拟电子技术的基本概念要求学生系统地掌握模拟电子技术的基本概念、、基本电路的工作原理 和基本分析方法和基本分析方法,,并能灵活应用于实际并能灵活应用于实际,,具有较强的分析问题与解决问题的能力。 二、考试内容 1.1.了解 了解PN 结及其特性;掌握常用二极管、双极型晶体管及场效应管的特性和主要参数。 2.2.掌握双极型晶体管组成的三种基本组态电路的特点和基本分析方掌握双极型晶体管组成的三种基本组态电路的特点和基本分析方法;了解场效应管放大电路。 3.3.了解多级放大电路的常用耦合方式了解多级放大电路的常用耦合方式了解多级放大电路的常用耦合方式,,掌握多级放大电路的特点及分析方法。 4.4.掌握集成运放的基本组成掌握集成运放的基本组成掌握集成运放的基本组成、、工作特点工作特点、、内部典型电路及主要技术指标。 5.5.了解频率响应的基本概念及波特图了解频率响应的基本概念及波特图了解频率响应的基本概念及波特图;;掌握双极型晶体管及场效应管的高频等效模型;了解放大电路的频率响应。 6.6.掌握反馈的基本概念掌握反馈的基本概念掌握反馈的基本概念、、判断方法判断方法、、负反馈对放大电路性能的影响及深度负反馈放大电路的分析方法;了解负反馈放大电路的稳定性问题。 7.7.掌握运放工作在线性区的特点及由集成运放组成的基本运算电路掌握运放工作在线性区的特点及由集成运放组成的基本运算电路掌握运放工作在线性区的特点及由集成运放组成的基本运算电路; ;

8.8.了解有源滤波电路及其它放大电路。 了解有源滤波电路及其它放大电路。9.9.掌握正弦波振荡电路的原理及组成掌握正弦波振荡电路的原理及组成掌握正弦波振荡电路的原理及组成;;掌握电压比较器掌握电压比较器、 、三角波(矩形波)发生器的电路组成和工作原理。 10.10.了解功率放大电路的特点及集成功率放大电路的应用,掌握互补了解功率放大电路的特点及集成功率放大电路的应用,掌握互补功率放大电路。 11.11.了解直流稳压电源的原理,掌握整流、滤波及稳压电路的组成和了解直流稳压电源的原理,掌握整流、滤波及稳压电路的组成和工作原理。 三、试卷结构 考试时间:考试时间:1.51.5小时;满分:小时;满分:75 75分题目类型:填空、选择、简答、计算(包括简单设计)及读图题。 参考书参考书::《模拟电子技术基础》高等教育出版社(第四版)华成英华成英、、童诗白主编 数字部分 一、考试要求 掌握数字逻辑电路的基本概念和中小规模逻辑器件的工作原理掌握数字逻辑电路的基本概念和中小规模逻辑器件的工作原理,,掌握 数字逻辑电路的分析和设计方法数字逻辑电路的分析和设计方法。。具备分析常用数字电路逻辑功能的 能力,能使用中小规模器件和可编程逻辑器件进行逻辑设计的能力能力,能使用中小规模器件和可编程逻辑器件进行逻辑设计的能力。 。二、考试内容 1、数制和编码及逻辑代数基础 1)各种数制之间的互相转换及BCD 编码 2)逻辑代数的基本定理

相关文档
相关文档 最新文档