文档库 最新最全的文档下载
当前位置:文档库 › 数字逻辑复习练习题

数字逻辑复习练习题

数字逻辑复习练习题
数字逻辑复习练习题

【例1】将()2011.11110101转换成十进制数 解:

()2

011.11110101

212120212021202121212132101234567---?+?+?+?+?+?+?+?+?+?+?

()10375.245= 【例2】求()()210?51=

解: 2 51 余 数 2 25 1 b 0低位 2 12

1 b 1

2 6 0 b 2 2

3 0 b 3 2 1 1 b

4 0 1 b 5

()()()220123451011001151==b b b b b b

【例3】用代数法求CD B A C B C A AB F +++=的最简与或式。 解:C B C A AB CD B A C B C A AB F ++=+++= ()

C AB AB C B A AB +=++= C AB += 【例9】求C AB C B BC A AC F +++=的最简与或式。

解:这种类型的题目,一般首先对是非号下的表达式化简,然后对整个表达式化简。

C C AC C B BC AC C B BC A AC F =+=++=++='

故:C C AB C C AB F F =+=+'=

【例4】 用卡诺图法求()

()∑131210874201,,,,,,,=,,,m D C B A F 的最简与或式。 解: F 1的卡诺图及卡诺圈画法如图1.1所示

所得最简与或式为 BCD A C AB D C D B F +++=1

注意:卡诺图左上角的变量分布根据不同的习惯有不同的写法,如另一种写法为

CD/AB ,对于这种写法,卡诺图中填1的方格也要相应改变为如图1.2所示。

图1.1 F 1的卡诺图 图1.2 F 1的另一种卡诺图

初学者常常犯这样的错误,在画卡诺图时,变量的分布按图1.2中的式样填写成CD/AB ,而在方格中填“1”时,却按图1.1的样式填写,因而导致错误的结果。

按照习惯,在画卡诺图时,从左上角到右上角,变量A 、B 、C 、D 排列的顺序与函数()D C B A F ,,,括号中的排列一致,或与真值表上的变量排列一致。

【例5】 求()

()∑151413111097654103,,,,,,,,,,,=,,,m D C B A F 的最简与或式。 解: F 2的卡诺图及卡诺圈画法如图1.3所示。

所得F 2最简与或式:AC BC D C C A F +++=2

注意:对同一个函数的卡诺图,有时存在不同的卡诺圈画法,因而所得的最简与或式的表达式不是唯一的,但不同表达式中与项的数目应该是相同的。例如:此题的另一种卡诺圈画法如图1.4所示。

根据F 2卡诺图后一种卡诺圈的画法,所得F 2最简与或式为

AC AD B A C A F +++=2

从上述的F 2两种最简与或式中可知,它们的与项数目相同,化简程度一样,都是正确的答案。

【例6】 求()

()()∑∑151252141374313,,,+,,,,,=,,,d m D C B A F 的最简与或式。 解: 这是利用无关最小项化简逻辑函数的例题,F 3的卡诺图及卡诺圈画法如图1.5所示。

所得最简与或式:D A C B AB F ++=3

注意:最小项m 2所对应方格中的d 既可看成1,也可看成0,由于它对扩大圈1无帮助,故可把它看成0而不圈它,如果圈它,就达不到化简的效果。

第二章

【例3】 电路如图2-3(a)、(b)、(c)、(d)所示,试找出电路中的错误,并说明为什么。

图1.3 F 2的卡诺图 图1.4

F 2卡诺图后一种卡诺圈的画法 图1.5 F 3的卡诺图

1 d 00 01 11 10

CD AB 00 01 11 10 1 d 1

d

1

1

1

d

图2-3 电路图

解 :图(a):电路中多余输入端接“1”是错误的,或门有一个输入为1,输出即为1。 图(b):电路中多余输入端接“0”电平是错误的,与门输入有一个为0,输出即为0。。 图(c):电路中两个与门输出端并接是错误的,会烧坏器件。因为当两个与非门的输出电平不相等时,两个门的输出级形成了低阻通道,使得电流过大,从而烧坏器件。

图(d):电路中两OC 门输出端虽能并接,但它们没有外接电阻至电源,电路不会有任何输出电压,所以是错误的。

【例3-1】分析图3-4所示电路的逻辑功能。

解:该电路有四个输出函数,根据电路图可以得到:B A S 000⊕=;B A C 000=;C B A S 0111⊕⊕=

()C B A B A C 011111⊕+=

由逻辑表达式可以看出:S 0、C 0是一位半加器的输出,S 1、C 1是一位全加器的输出。所以,图3-4所示电路是两个两位二进制数A A 01与B B 01作加法的运算电路。

【例3-2】 组合电路如图3-5所示,试写出函数表达式和分析逻辑功能。

解:A 、B 、C 1是原始变量,最后的输出函数 F 和C 的函数表达式为:

()C B A C B A F 11⊕⊕=⊕⊕=

()C B A AB C 1⊕+=

可以看出,该电路的逻辑功能是一位全加器。

【例3-3】 一个组合逻辑电路有两个控制信号C 1和C 2,要求:

(1)0012=C C 时,B A F ⊕= (2) 0112=C C 时,AB F = (3) 1012=C C 时,B A F += (4) 1112=C C 时,AB F = 试设计符合上述要求的逻辑电路。

解:首先,列出函数F 的真值表。把控制信号C 2、C 1与变量A 、B 都视为所求电路中的输入变量。变量在真值表中的排列由高位到低位的顺序是AB C C 12。真值表如表3-1所示。然后,画出函数F 的卡诺图,如图3-6所示。化简后得到函数F 的最简与或式为

AB C C B A C C B A C B A C A C C F 12122212++++=

最后,画出电路图。由于题中没有限定门器件的种类,也没有限定只使用原变量,所以在画电路时就直接根据F 逻辑式的需求使用与门、或门完成。电路图如图3-7所示。

图3-4 题3-1电路图

图3-5 题3-2电路图

表3-1 例3-3真值表

C

2

C 1

A B F 0 0 0 0 0 0 0 0 1 1 0

1

1

1 1 00 01 11 10 AB C 2C 1 00

01 11

1

1

1 1

Y 0 Y 1 Y 2 Y 3 Y 4 Y 5 Y 6 Y 7

3-8线译码

C B A

图3-8 由译码器构成函数F

【例3-4】请用3-8

线译码器译码器和少量门器件实现逻辑函数

()()∑=7630,,,,,m A B C F 。

解:从表中可知m Y i i =,对F 进行变换可得:

由译码器构成的函数F 的电路图如图3-8所示。

【例4-1】 设主从J-K 触发器的原状态为1,按照图4-3(a)所给出的J 、K 、CP 输入波

形,画出触发器Q 端的工作波形。

解: 【关键点】

此题的特点在于激励信号K 的某些跳变与CP 脉冲的跳变发生在同一时刻,所以必须了解:Q 次态波形时取决于CP 脉冲下降沿前一刻的J 、K 值而不是取决于CP 脉冲下降沿时刻的J 、K 值。画波形时,从第1个CP 脉冲开始分析,看它的下降沿前一时刻的J 、K 为何值,再依据J-K 触发器真值表所述的功能,确定Q 的次态,也就是CP 脉冲下降沿触发以后Q

图3-7 例3-3电路图 m m m m 7

630+++=Y Y Y Y m m m m 7

6307630=???=()m m m m A B C F 7630+++=,,

的新状态。

【具体分析】

1、为了便于说明,首先将CP 脉冲从①到⑤编号;

2、第①个CP 脉冲下降沿前一刻,J 、K 同为1,经CP 脉冲触发后Q 必然翻转,所以在第1个CP 脉冲下降沿后Q 由1变为0。

3、第②个CP 脉冲下降沿前一刻,J=1、K=0,经CP 脉冲触发后Q 置1,所以在第②个CP 脉冲下降沿后Q 由0变为1。

4、第③个CP 脉冲下降沿前一刻,J=K=0,经CP 脉冲触发后Q 保持不变,所以在第③个CP 脉冲下降沿后Q 仍然为1。

5、第④个CP 脉冲下降沿前一刻,J=K=1,经CP 脉冲触发后Q 翻转,所以在第④个CP 脉冲下降沿后Q 由1变为0。

6、第⑤个CP 脉冲下降沿前一刻,J=K=0,经CP 脉冲触发后Q 保持不变,所以在第⑤个CP 脉冲下降沿后Q 仍然为0。故该题Q 的工作波形如图4-3(b)所示。

【例4-2】 设主从J-K 触发器的原状态为0,输入

波形如图4-4(a)所示,试画出Q 端的工作波形。

解 : 【关键点】

该例题要求读者不但熟悉J-K 触发器的真值表,还应熟悉R D 、S D 的异步置0、置1的功能。画波形时,应首先考虑R D 、S D 的直接置0、置1的作用。所谓直接置0置1,是指不考虑CP 脉冲的作用,也不考虑所有激励信号J 、K 的作用,只

要()

10=

=S R D D ,触发器Q 就为0;而只要0=S D (1=R D ),触发器Q 就为1。只有当1==S R D D 时,才分析CP 、J 、K 对触发器Q 的作

用。

【具体分析】

1、为了便于说明,首先将CP 脉冲从①到⑥编号,已知Q 起始状态为0;

2、第①个CP 脉冲期间,1=S D (0=R D ),Q 置0,Q 保持不变仍为0。

3、第②个CP 脉冲期间,0=S D (1=R D ),Q

置1,使Q 由0变为1。

4、第③个CP 脉冲到来时,1==R S D D ,该CP 脉冲有效,因在它的下降沿前一时刻,

1==K J ,所以在第③个CP 脉冲下降沿以后,Q 翻转,由1变为0。

5、第④个CP 脉冲期间,0=S D 、1=R D ,Q 置1,使Q 由0变为1;

6、第⑤个CP 脉冲期间,1=S D 、1=R D ,考虑到J=K=1,经CP 脉冲触发后Q 应该在第⑤个CP 脉冲的下降沿翻转为0,但是,在第⑤个CP 脉冲的下降沿0=S D 、1=R D ,Q 置

1;所以在第⑤个CP 脉冲下降沿后Q 仍然为1。

7、第⑥个CP 脉冲期间,1=S D 、0=R D ,Q 置0;使Q 由1变为0;最后,Q 的时间

① ② ③ ④ ⑤

图4-3 例4-1时间波形图

① ② ③ ④ ⑤ ⑥

图4-4 例4-2时间波形图

波形图如图4-4(b )所示。

【例4-3】 电路图如图4-5(a)所示,输入信号CP 、R D 和D 如图4-5(b)所示,试画出Q 1,Q 2的波形。

解: 【关键点】

首先要找出电路中两个触发器之间的输入、输出的关系。有=J 2Q 1,而D 1的状态与后者无关。所以要先画Q 1波形,然后将Q 1作为触发器(2)的激励信号,画 Q 2波形。

其次要注意到两个不同类型的触发器的状态翻转是在CP 脉冲的不同时刻。Q 1 的翻转对应CP 脉冲的上升沿,Q 1的翻转对应CP 脉冲的下降沿。另外图中JK 触发器的K 2端悬空,一般输入端悬空就表示接“1”。

【具体分析】

1、为了便于说明,首先将CP 脉冲从①到⑥编号;在图(b)中,一开始R D 就为0,所以Q 1,Q 2起始状态都为0。此后,R D 一直保持为1,那么后面的6个CP 脉冲都是有效触发。

2、第①个CP 脉冲上升沿前一时刻,D=1,经CP 脉冲触发后,Q 1由0→1。

3、第②个CP 脉冲上升沿前一时刻,D=1,Q 1保持不变仍然为1;值得特别注意的是第2个CP 脉冲上升沿正对应着D 1由1→0,Q 1是否也立即由1→0呢?以往常有初学者认为 Q 1也立即由1→0。其实Q 1继续为1,保持到第3个CP 脉冲上升沿以后才由1→0。对第4个CP 脉冲上升沿处的分析也是这样。此处,Q 1由0→1,而 并不立即变化,而是在第5个CP 脉冲上升沿以后,Q 1才由1→0。这种滞后的响应正是D 触发器的特征。

画Q 2时,注意到Q 1就是J 2的值,而12=K ,根据CP 脉冲下降沿触发的特点,由真值表确定次态,分析如前面例题所述。最后,Q 1,Q 2的工作波形如图4-5(c)所示。

【例4-4】 电路和输入波形CP 、A 如图4-6(a)、(b)所示,设起始状态0012=Q Q ,试画出Q 1、Q 2、B 、C 的输出波形。

解: 该电路在两个触发器的基础上增加了组合电路。因为组合电路的特点是即刻的输出仅取决于即刻的输入。所以组合电路的输出波形仅依据输出函数的逻辑方程来画。根据图4-6(a),B 、C 的逻辑方程为

Q Q B 21=,Q Q Q Q C 2121+==

由上式可知,只有先画出时序电路的输出Q 1、Q 2的波形以后,才能画出B 、C 的波形。注意到Q D 12=,所以在画Q 1、Q 2波形时又要求先画Q 1波形、后面Q 2波形。

画Q 1、Q 2波形时对D 触发器的分析如前面所述,从第1个CP 脉冲开始分析,针对每个 CP 脉冲的上升沿,辩认D 输入,再按D Q

n =+1

确定次态。最后得到输出波形如图4-6(c)所

(C )

① ② ③ ④ ⑤ ⑥

图4-5 例题4-3的电路与时间波形图

示。

分析图5.3所示电路的逻辑功能,检查电路能否自启动。

解:(1)方程式 时钟方

CP CP CP CP ===210

驱动方程:

???

????

?==?=n n n n

n Q Q J Q J Q Q J 01010102

n

n

n

Q Q K Q K K 0

120

101===(5.1)

状态方程:

???

????++??=??+??=?+?=?=??=+++n n n n n n n n n n n n n n

n n n n n n n n

n n Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q 201012201012120

10111010101

0)( (5.2) (1) 状态转换表(见表5.3)

表5.3 例5.1的状态转换真值表

(3)画出状态转换图(见图5.4) Q 2Q 1Q 0

000

100 101 110 111

001 010 011

图5.4 逻辑电路的状态转换图

(4)检查自启动。经查,电路有111、110、101、011四个无效状态如图5.2所示,电路能够启动。

(5

Q 1

Q 2

Q 3

图5.5 例5.1逻辑电路的时序图

(6)功能说明:图5.1逻辑电路是一个同步四进制计数器。 例5.2 试分析图5.6所示电路,并说明其逻辑功能。 解:(1)驱动方程:

????????=?==n

n n n Q Q J Q Q J J 123

13211 n n Q K Q K K 131211=== (5.3)

(2)状态方程:

???

?????+??=+=+?=+==+=+++n n n n n n n n n

n n n n n n n n

n n n Q Q Q Q Q Q K Q J Q Q Q Q Q Q Q K Q J Q Q Q K Q J Q 131233333131

21232222121

111111 (

5.4)

(3)状态表(见表5.4)

表5.4 例5.2的状态表

(4)状态图(见图5.7)

(5)时序图(见图5.8)

(6)功能说明:图5.6电路是同步六进制加法计数器。

例5.6 设计一个七进制加法计数器。要求:

(1)用最少的JK 边沿触发器和少量与非门实现。

(2)利用集成电路芯片74LS160和反馈清零法实现(异步清零) (3)利用集成电路芯片74LS160和反馈置数法实现(同 步置数) 解:(1)用最少的JK 边沿触发器和少量的与非门实现 ①2

3

22>>N ∴计数器的状态图用3位二进制编码。 则电路状态转换图如下图5.17所示

②画出图5.17所对应的卡诺图,见图

5.18

从上图中求得状态方程如下:

???

????+=+=?+=+=?+=?+?=+++n n n n n n n n n n n n

n n n n n n n n n n n

n n n n n n n Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q 201210121212102100120111012020110)( (5.12) ∴JK 触发器的驱动方程

???

????==?====+=n n n n

n n n n n n Q K Q Q J Q Q K Q J K Q Q Q Q J 120120

2101012120,,1,(5.13)

③ 画逻辑电路图,如图5.19所示,经检查电路能够自启动。

(2

)用集成电路芯片74LS160的反溃归零法实现,电路如图5.20

所示

(3)用74LS160和同步置数法实现(见图5.21所示)

N=7

解:因为74LS161是个16进制计数器,其清零采用的是异步方式,置数采用的是同步方式,所以答案见图5.45所示。

图5.45 T5.10电路图 图5.46 T5.11电路图

N=12

解:见图5.46

T5.12

解:见图5.47(16×6+12=108)

图5.47 T5.12电路图

例6

.1 用集成芯片555构成的施密特触发器电路及输入波形Vi 如图6.3(a 、b )所示,试画出对应的输出波形Vo

解:由图6.4所示集成电路定时器555内部电路结构可知,该施密特触发器的正向阈值电压(上触

发电平)

)(33.33

532V V U U CC P T ≈?===+,反向阈值电压(下触发电平)

)(7.13

1

531V V U U CC N T ≈?===-,见图6.3(b )从t=0时刻开始,Ui 上升,但Ui <1.7V ,

电压比较器A 2的输出0=S ,电压比较器A 2的输出1=R (见图6.4所示)Q =1(V 0=5V );

当1.7V <Ui <3.3V 时,1=S ,1=R ,使Q =1保持不变;当Ui ≥3.3 V 时,1=S ,0=R ,使Q =0(即U 0=

0V )。Ui 由4V 开始下降,但当1.7V <Ui <3.3V 时,

1=S ,1=R ,使Q =0保持不变;当Ui 下降到Ui<1.7V 时,又

恢复到0=S ,1=R ,Q =1。综上的述,该电路的输出

波形如6.3(C )所示。

例6.2用集成芯片555所构成的单稳态触发器电路及输入波形Vi 如图6.5(a )、(b )所示,试画出对应的输出波形Vo 和电容上的电压波形Vc ,并求暂稳态宽度t w 。

解:由图6.4所示的集成电路定时器555内部电路结构知,电容C 接芯片内晶体管T 的集电极。当T 管的基极电压为高电平时,T 管导通。

在电路接通电

源开始时。电源V CC 通过R 向C 充电。当U C 上升到CC V 3

时,比较器A 1输出低电平,

0=R ;此时,输入电压Ui =5V (见图 6.5a 、b ),比较器A2输出高电平,1=S ,触发器输出

1,0==Q Q 。同时,T 管导通,电容C 通过T 放电,U C 下降。当U C 下降到CC

i CC V U V 3

1

32>>时,1==R S ,触发器1,0==Q Q 保持不变,输出电压U 0=0,就是电路的稳定状态。当Ui 的下降沿到来,U i <CC V 31,U C <CC V 3

2,比较器A1输出高电平,1=R ;比较器A2输出低电平,0=S ,此时触发器翻转,0,1==Q Q ,输出电压U0高电平,三极管T 截止,电源V CC 又通过R 向C 充电。这样状态是暂稳态。当U C 上升到CC V 3

2(3.3V )时,比较器A1输出低电平,0=R ,触发器复位,输出电压U 0又变为零,电路暂稳态结束。与此同时,三极管T 导通,电容C 通过T 放电,电路恢复到到稳态。综上所述,输出波形U 0

和电容C 上的电压U C 如图6.5(c )所示。

暂稳态宽度RC RCLn Ln RC t U U t U U Ln

RC t C C C C W 1.133

.350

5)()()()(10≈=--?=-∞-∞?=

)(56.01.01.51.1S μ=??=

例6.3 用集成电路定时器555所构成的自激多谐振荡器电路如图6.6(a )所示。试画

出输出电压U C

和电容C 两端电压U C 的工作波形,并求振荡频率。

解:由图6.4集成电路定时器555内部电路结构,分析该电路工作原理。

因为集成芯片的2.6两脚(即A 2的同相输入端和A 1的反相输入端)连接在电容C 的上端,这个端点上的电压Uc 变动,会同时导致两个比较器的输出电平改变,即同时控制R ,S 的

改变。电源Vcc 经过R 1R 2给电容C 充电。当Uc 上升到

3

2

Vcc 时,比较器A 1输出低电平,R =0,比较器A 2输出高电平,S =1,触发器复位,Q=0,V o=0。同时Q =1,三极管T 导通,

电容C 通过R 2,T 管放电。电压Uc 下降,当Uc 下降到

3

1

Vcc 时,比较器A 1输出高电平,R =1,比较器A 2输出低电平,S =0,触发器置1,Q=1,Uo=1。此时,Q =0,三极管T 截

止,Vcc 又经过R 1,R 2给C 充电,使Uc 上升。这样周而复始,输出电压Uo 就形成了周期性的矩形脉冲。电容C 上的电压Uc 就是一个周期性的充电、放电的指数曲线波形。Uo 和U C 的工作波形见图6.6(b )所示。

∵充电脉宽t WH ≈0.7(R 1+R 2)C=0.7×(20+100)×0.1=8.4(ms ) 放电脉宽t WL ≈0.7R 2C=0.7×100×0.1=7(ms ) ∴振荡频率)(6510)74.8(1

13

HZ t t f WL WH

≈?+=+=

-

例7.1 权电阻网络8位D/ A 转换器如图7.4所示,设U REF =10V ,当R =2K Ω,R F =1K Ω时,求:

(1)D / A 转换器的最小可分辨电

压Δ等于多少?

(2)当输入数码a 7a 6a 5a 4…a 0=11111111时,滿度输出电压U om 为多大? (3)当输入数码a 7a 6…a 0=10110111时,输出电压U 0有多大? 解:(1)因为最小可分辨电压△是最

低位数码a 0=1,其他位数码都为0时所对应的输出电压U 0,所以

)(039.0210

212277

7V U R R U R R REF F REF

F -=?-=?-=?-

=? (2)当a 7a 6a 5a 4…a 0=11111111时

7

7

10672

2211)212121(+???++?-=?+???++?-=REF F F REF

om U R R R R U U )(0945.9039.0255)12()12(2

88

7V U R R REF F -=?-=?--=-?-

= (3)∵100

124572)183(222222)10110111(=+++++=

∴当a 7a 6…a 0=10110111时,输出电压

U 0=183△=-183×0.039V =-7.137(V )

例7.2 设8位T 型电阻网络DAC 如图8.5所示,已知U REF =-10V ,输入量数字D =11010110,试求:(1) R F =3R 时,输出模拟电压U 0=?

(2) R F ,=2R 时,输出模拟电压U 0,

=?

解:在图7.5电路中n =8,D =11010110 (1) 当R F =3R 时,

由D U R R U n REF

F ??-

=2

30可得: )0212120212021212(2

10201234567

80?+?+?+?+?+?+?+?--=?-

=D U U n REF )(36.8214256

10

V -≈?-=

(2)当R F =2R 时, D U R R U n REF

F 2

30?-

=

)(57.5214210328

V R R -≈?-?=

例7.3 四位电流型倒T 型电阻网络D/ A 转换器如图7.6所示。已知参考电压U REF =5V ,电阻R =R F =1K Ω,求最小可分辨电压Δ和滿度输出电压U 0m ,当输入数字D =1101时,输出电压U 0为多少?

解:首先求最小可分辨电压Δ:

最小可分辨电压Δ是D =a 3a 2a 1a 0=0001时所对应的输出电阻U 0,这时只有开关S 0接上基准电压源U REF ,其他开关都接地,支路电流I 0流到集成运放的反相输入端N 点,最小可分辨电压Δ为:

Δ=-I 0·R F 为了求出I 0,则必须优先求出总电流I 的大小。设倒T 型电阻网

络的等效电阻为R ,

,根据从每个节点(不包括该节点本身)向右看,等效电阻为2R ,最后得知该电阻网

络等效电阻R '

=R ,因此总电流I 为:

)(515mA K V

R U I REF =Ω

==

)(3125.016

5

1610mA I I ===

∴)(3125.013125.00V R I F -=?-=?-=?

滿度输出电压?-=-?-=-?-=15)12()12(4

0n m U

)(6875.43125.015V -=?-= 当输入数字量a 3a 2a 1a 0=1101时,输出电压U 0为:

)(0625.43125.01313)212121(0230V U -=?-=?-=???+?+?-=

数字逻辑和设计基础 期末复习题

1、采用3-8线译码器74LS138和门电路构成的逻辑电路如图所示,请对该电路进行分析,写出输出方程,并化解为最简与-或式。(10分) 1、解:分析此图,可知:F1=0134m m m m +++, F2=4567m m m m +++ 化简过程:由卡诺图及公式化简均可,此处略 化简得:1F A C BC =+(2分) 2F A = 2.已知逻辑函数: F ABC ABC ABC ABC ABC =++++,试用一片4选1数据选择器和门电路实现该逻辑函数,要求采用代数法,写出设计全过程,并画出电路图。 (10分) A 1 ST Y D 0D 1D 2D 3 A 0 ① 写出逻辑函数F 的表达式(2分) ==F A B C AB C ABC A BC ABC A B C AB C C A BC ABC A B C AB A BC ABC =+++++++++++() ② 写出4选1数据选择器输出端逻辑函数Y 的表达式(2分) 100101102103Y A A D A A D A A D A A D =+++ ③令 10A A A ==、B ,比较F 和Y 两式可得: (2分)

01231D C D D D C ==== ④ 根据上式画出的逻辑图。(4分) 五、 画出下列各触发器Q 端的波形:(设Q n = 0)(10 分,每小题5 分) 1、已知JK 触发器输入信号J 和K 、时钟脉冲CP 、异步置位端D R 和D S 的波形如下图 所示,试画出触发器输出端Q 的波形,设初始状态为0。(5分) Q CP J S D D K J 2、下图由边沿D 触发器构成的触发器电路,设其初始状态为0。输入信号如右 图所示,试画出Q 端的输出波形。(5分) CP Q D R D

2012年数字逻辑复习题

2012数字逻辑复习提要 一、选择题 1.若ABCDEFGH 为最小项,则它有逻辑相邻项个数为( A ) A. 8 B. 82 C. 28 D. 16 2.如果编码0100表示十进制数4,则此码不可能是(B ) A. 8421BCD 码 B. 5211BCD 码 C. 2421BCD 码 D. 余3循环码 3.构成移位寄存器不能采用的触发器为( D ) A. R-S 型 B. J-K 型 C. 主从型 D. 同步型 5.以下PLD 中,与、或阵列均可编程的是(C )器件。 A. PROM B. PAL C. PLA D. GAL 6.函数F(A,B,C,D)=∑m(1,3,4,6,8,10),它的卡诺图如右图所示。函数的最简与或表达式F= A 。 A . B . C . D . 7.组合电路是指 B 组合而成的电路。 A .触发器 B .门电路 C .计数器 D .寄存器 8.电路如右图所示,经CP 脉冲作用后,欲使Q n+1 =Q ,则A ,B 输入应为 AB 。 A .A=0,B=0 B .A=1,B=1 C .A=0,B=1 D .A=1,B=0 9.一位十进制计数器至少需要 4个触发器。 A .3 B .4 C .5 D .10 D B A D B A D B A ++D B A D C A C B A ++D C A D B A C B A ++D B A D B A D B A ++

10.n 个触发器构成的扭环计数器中,无效状态有 D 个。 A .n B .2n C .2 n-1 D .2n -2n 11.GAL 器件的与阵列 ,或阵列 D 。 A .固定,可编程 B .可编程,可编程 C .固定,固定 D .可编程,固定 12.下列器件中是 C 现场片。 A .触发器 B .计数器 C .EPROM D .加法器 13.IspLSI 器件中,缩写字母GLB 是指 B 。 A . 全局布线区 B .通用逻辑块 C .输出布线区 D .I/O 单元 14. 在下列逻辑部件中,不属于组合逻辑部件的是D 。 A . 译码器 B .编码器 C .全加器 D .寄存器 15. 八路数据选择器,其地址输入端(选择控制段)有 C 个。 A .8 B .2 C .3 D .4 16. 为将D 触发器转换为T 触发器,下图所示电路虚线框内应是 。 A . 或非门 B . 与非门 C . 异或门 D . 同或门 17.用n 个触发器构成计数器,可得到最大计数摸是 B 。 A .n B .2n C .2 n D .2n-1 18.) (F ,)6,5,4,3,2,1,0(C)B ,,F(A == ∑则m C (A)ABC (B)A+B+C (C)__ __ __ C B A ++ (D) __ ____C B A 19.或非门构成的基本RS 触发器,输入端SR 的约束条件是(A ) (A)SR=0 (B)SR=1 (C)1__ __ =+R S (D) 0__ __=+R S 21.在CP 作用下,欲使D 触发器具有Q n+1 =__ n Q 的功能,其D 端应接( D ) (A)1 (B) 0 (C) n Q (D) __n Q

数字逻辑设计试题中文+答案

2003数字逻辑考题 一 填空题 (每空1分,共15分) 1 [19]10=[ 11010 ]Gray (假设字长为5bit ) 2 若X=+1010,则[X]原=( 00001010 ),[-X]补=( 11110110 ),(假设字长为8bit ) 3 [26.125]10=[ 1A.2 ]16=[ 00100110.000100100101 ]8421BCD 4 65进制的同步计数器至少有( 7 )个计数输出端。 5 用移位寄存器产生11101000序列,至少需要( 3 )个触发器。 6 要使JK 触发器按'*Q Q =工作,则JK 触发器的激励方程应写为(1,1 );如果用D 触发器实现这一转换关系,则D 触发器的激励方程应写为( Q ’ )。 7 在最简状态分配中,若状态数为n ,则所需的最小状态变量数应为([log 2n] )。 8 有n 个逻辑变量A ,B ,C ….W ,若这n 个变量中含1的个数为奇数个,则这n 个变量相异或的结果应为( 1 )。 9 一个256x4bit 的ROM 最多能实现( 4 )个( 8 )输入的组合逻辑函数。 10 一个EPROM 有18条地址输入线,其内部存储单元有( 218 )个。 11 所示CMOS 电路如图Fig.1,其实现的逻辑函数为F=( A NAND B (AB)' ) (正逻辑)。 二 判断题 (每问2分,共10分) 1 ( T )计数模为2n 的扭环计数器所需的触发器为n 个。 2 ( F )若逻辑方程AB=AC 成立,则B=C 成立。 3 ( F )一个逻辑函数的全部最小项之积恒等于1。 4 ( T )CMOS 与非门的未用输入端应连在高电平上。 5 ( F )Mealy 型时序电路的输出只与当前的外部输入有关。 Fig.1 三 (16分) 1 化简下列函数(共6分,每题3分) 1) ()()∑=15,13,11,10,9,8,7,3,2,0,,,m D C B A F 2) ()()()∑∑+=14,5,3,013,12,10,8,6,1,,,d m D C B A F F +E D

数字逻辑复习题

数字逻辑复习题

————————————————————————————————作者:————————————————————————————————日期: 1

1 数字逻辑复习提要 一、选择题 1.若ABCDEFGH 为最小项,则它有逻辑相邻项个数为( A ) A. 8 B. 82 C. 28 D. 16 2.如果编码0100表示十进制数4,则此码不可能是(B ) A. 8421BCD 码 B. 5211BCD 码 C. 2421BCD 码 D. 余3循环码 3.构成移位寄存器不能采用的触发器为( D ) A. R-S 型 B. J-K 型 C. 主从型 D. 同步型 5.以下PLD 中,与、或阵列均可编程的是(C )器件。 A. PROM B. PAL C. PLA D. GAL 6.函数F(A,B,C,D)=∑m(1,3,4,6,8,10),它的卡诺图如右图所示。函数的最简与或表达式F= A 。 A . B . C . D . 7.组合电路是指 B 组合而成的电路。 A .触发器 B .门电路 C .计数器 D .寄存器 8.电路如右图所示,经CP 脉冲作用后,欲使Q n+1 =Q ,则A ,B 输入应为 A 。 A .A=0,B=0 B .A=1,B=1 C .A=0,B=1 D .A=1,B=0 9.一位十进制计数器至少需要 4个触发器。 A .3 B .4 C .5 D .10 D B A D B A D B A ++D B A D C A C B A ++D C A D B A C B A ++D B A D B A D B A ++

数字逻辑设计习题参考答案 (第2,3章)

数字逻辑设计 习题册 班级: 学号: 姓名: 哈尔滨工业大学(威海) 计算机科学与技术学院体系结构教研室

第2章 逻辑代数基础 2—1 填空 1.摩根定理表示为:=?B A _B A + __;=+B A _B A ?__。 2. 函数表达式D C AB Y ++=,则其对偶式为='Y _D C B A ??+)(_______。 3.根据反演规则,若C D C B A Y +++=,则=Y C D C B A ?++)(。 4.函数式CD BC AB F ++=写成最小项之和的形式结果为 ()15,14,113,12,11,7,6,3∑m , 写成最大项之积的形式结果为)10,9,8,5,4,2,1,0(∏M 。 5. (33.33)10 =(100001.0101 )2 =( 41.2 )8 =( 21.5 )16 2—2 证明 1.证明公式()()A BC A B A C +=++成立。 2.证明此公式B A B A A +=+成立。 3.证明此公式)()()()()(C A B A C B C A B A +?+=+?+?+成立。 左边 (由分配律得) 右边 BC A BC B C A BC BA AC AA C A B A +=+++=+++=++)1())((B A A A B B B A B A B A AB AB B A B A AB B A B B A +=+++=+++=++=++=)()()(AC BC A B C A AC B C A C B B A ++=+?+=+ ?+?+=)()()()()(AC BC A B BC A B AC A A ++=+++=

2015数字逻辑复习题

数字逻辑复习提要 一、选择题 1.若ABCDEFGH 为最小项,则它有逻辑相邻项个数为( A ) A. 8 B. 82 C. 28 D. 16 2.如果编码0100表示十进制数4,则此码不可能是(B ) A. 8421BCD 码 B. 5211BCD 码 C. 2421BCD 码 D. 余3循环码 3.构成移位寄存器不能采用的触发器为( D ) A. R-S 型 B. J-K 型 C. 主从型 D. 同步型 5.以下PLD 中,与、或阵列均可编程的是(C )器件。 A. PROM B. PAL C. PLA D. GAL 6.函数F(A,B,C,D)=∑m(1,3,4,6,8,10),它的卡诺图如右图所示。函数的最简与或表达式F= A 。 A . B . C . D . 7.组合电路是指 B 组合而成的电路。 A .触发器 B .门电路 C .计数器 D .寄存器 8.电路如右图所示,经CP 脉冲作用后,欲使Q n+1 =Q ,则A ,B 输入应为 A 。 A .A=0,B=0 B .A=1,B=1 C .A=0,B=1 D .A=1,B=0 9.一位十进制计数器至少需要 4个触发器。 A .3 B .4 C .5 D . 10 D B A D B A D B A ++D B A D C A C B A ++D C A D B A C B A ++D B A D B A D B A ++

10.n 个触发器构成的扭环计数器中,无效状态有 D 个。 A .n B .2n C .2 n-1 D .2n -2n 11.GAL 器件的与阵列 ,或阵列 D 。 A .固定,可编程 B .可编程,可编程 C .固定,固定 D .可编程,固定 12.下列器件中是 C 现场片。 A .触发器 B .计数器 C .EPROM D .加法器 13.IspLSI 器件中,缩写字母GLB 是指 B 。 A . 全局布线区 B .通用逻辑块 C .输出布线区 D .I/O 单元 14. 在下列逻辑部件中,不属于组合逻辑部件的是D 。 A . 译码器 B .编码器 C .全加器 D .寄存器 15. 八路数据选择器,其地址输入端(选择控制段)有 C 个。 A .8 B .2 C .3 D .4 16. 为将D 触发器转换为T 触发器,下图所示电路虚线框内应是 。 A . 或非门 B . 与非门 C . 异或门 D . 同或门 17.用n 个触发器构成计数器,可得到最大计数摸是 B 。 A .n B .2n C .2 n D .2n-1 18.F(A,B,C) = ∑m(0,1,2,3,4,5,6),则F=(C ) (A)ABC (B)A+B+C (C)__ __ __ C B A ++ (D) __ ____C B A 19.或非门构成的基本RS 触发器,输入端SR 的约束条件是(A ) (A)SR=0 (B)SR=1 (C)1____=+R S (D) 0__ __=+R S 21.在CP 作用下,欲使D 触发器具有Q n+1 =__ n Q 的功能,其D 端应接( D ) (A)1 (B) 0 (C) n Q (D) __n Q

数字逻辑与数字电路复习题

数字逻辑复习题*红色表示知识点说明文字01数制码制和逻辑代数533 多选题34 1.下列BCD码中有权码有( )。 A.8421BCD B.余3BCD C.5211BCD D.格雷(循环)码 \\AC 2.下列BCD码中无权码有( )。 A.8421BCD B.余3BCD C.5211BCD D.格雷(循环)码 \\BD 3.下列二进制数中是奇数的有( )。 A.00101001111110101 B.00010000110111010 C.10111011111101 D.1000000011110101 \\ACD 4.下列8421BCD码中是偶数的有( )。 A.010********* B.10000110111010 C.011101111110 D.001000111101 \\BC 5.下列十六进制数中是奇数的有( )。 A.37F B.2B8 C.34E D.FF7

\\AD 6.下列十六进制数中是偶数的有( )。 A.37F B.2B8 C.34D D.F3E \\BD 7.比十进制数0.1D大的数是( )。 A.二进制数0.1B B.8421BCD码0.0001 C.八进制数0.1Q D.十六进制数0.1H \\AC 8.比十进制数10D小的数是( )。 A.十六进制数10H B.二进制数10B C.8421BCD码00010000 D.八进制数10Q \\BD 9.5211BCD码的特点是( )。 A.具有逻辑相邻性B.具有奇偶校验特性 C.是一种有权码D.按二进制数进行计数时自动解决了进位问题\\CD 10.余3BCD码的特点是( )。 A.当作二进制码看比等值的8421BCD码多3 B.是一种有权码C.按二进制进行加法时自动解决了进位问题D.具有逻辑相邻性\\AC 11.格雷(循环)码的特点是( )。

2011数字逻辑设计大作业题目

数字逻辑设计大作业题目 说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,不能超过3人。 题目1:电子密码锁的设计 [设计要求] (1)设计一个开锁密码至少为4位数字(或更多)的密码锁。 (2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿 灯亮、红灯灭表示开锁状态。 (3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 (4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。 注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等) 题目2:乒乓球比赛模拟机的设计 乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。 [设计要求] (1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球” 的移动速度可以调节。 (2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,

表示未击中或违规,则对方得一分。 (3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (5)能显示发球次数。 注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等) 题目3:象棋快棋赛电子裁判计时器的设计 说明:象棋快棋赛规则是,红、黑双方对奕时间累计均为三分钟,超时判负。[设计要求] (1)甲乙双方的计时器为一个秒时钟,双方均用3位数码管显示,预定的初值均为三分钟,采用倒计时方式。通过按扭启动,由本方控制对方,比如甲方走完一步棋后必须按一次甲方的按键,该按键启动乙方倒计时。同理,乙方走完一步棋后必须按一次乙方的按键,该按键启动甲方倒计时。 (2)超时能发出报警判负。 (3)累计时间设置可以改变。 注:附加功能根据本人能力自行添加 题目4:出租车计费器的设计 汽车在行驶时,里程传感器将里程数转换成与之成正比的脉冲个数,然后由计数译码电路变成收费金额。每行驶1公里,里程传感器输出一个脉冲信号,即10个脉冲/公里。 [设计要求] (1)设计制作自动计费器,金额总数包括行车里程计费、等车时间计费和起步价三部分,金额用数码管显示。 (2)里程单价设2.1元/公里,等车单价为0.6元/10分钟,起步价设为5元(参考)

数字逻辑与数字系统设计习题参考答案

数字逻辑与数字系统设计 第1章习题解答 1.3 (1)86 (2)219 (3)106.25 (4)0.6875 1.4 (1)101111 (2)1001000 (3)100001l.11 (4)0.101 1.5 (1)(117)10=(165)8=(1110101)2=(75)16 (2)(3452)10=(6574)8=(1)2=(D7C)16 (3)(23768.6875)10=(56330.54)8=(.1011)2=(5CD8.B)16 (4)(0.625)10=(0.5)8=(0.101)2=(0.A)16 1.6 (1)(117)8=(1001111)2=(79)10 (2)(7456)8=(1)2=(3886)10 (3)(23765.64)8=(10 0111 1111 0101.1101)2=(10229.8125)10 (4)(0.746)8=(0.11111)2=(0.96875)10 1.7 (1) (9A)16=()2=(154)10 (2) (3CF6)16=(111)2=(15606)10 (3) (7FFE.6)16=(.011)2=(32766.375)10 (4) (0.C4)16=(0.110001)2=(0.765625)10 1-8 (1)(125)10=(0001)8421BCD (2)(7342)10=(0)8421BCD (3)(2018.49)10=(00011000.01001001)8421BCD (4)(0.785)10=(0.0)8421BCD 1.9 (1)(106)10=(1101010)2原码=反码=补码=01101010 (2)(-98)10=(-1100010)2 原码= 反码= 补码= (3)(-123)10=(-1111011)2 原码= 反码= 补码= (4)(-0.8125)10=(-0.1101)2 原码=1.1101000 反码=1.0010111 补码=1.0011000 1.10 (1)(104)10=(1101000)2 [1101000]补=01101000 (-97)10=(-1100001)2 [-1100001]补= + 01001111 01101000 + 00000111

数字逻辑期末复习题

一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++= 功能相等的表达式为 ___C_____。 A . D C B A F +++= B . D C B A F +++=

C . D C B A F = D .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 Q 的频率为_____D_____。 . 100KHz D .50KHz 9.下列器件中,属于时序部件的是_____A_____。 A . 计数器 B . 译码器 C . 加法器 D .多路选择器 10.下图是共阴极七段LED 数码管显示译码器框图,若要显示字符“5”,则译码器输出a ~g 应为____C______。 A . 0100100 B .1100011 C . 1011011 D .0011011

数字逻辑复习题

《数字逻辑》复习题 一选择题 1.逻辑表达式Y=AB可以用 ( C ) 实现。 A.正或门 B.正非门 C.正与门 D.负或门 2.在( A )的情况下,“或非”运算的结果是逻辑 1 。 A.全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1 3.CMOS数字集成电路与TTL数字集成电路相比突出的优点是( A )。 A. 微功耗 B. 高速度 C. 高抗干扰能力 D. 电源范围宽 4.在下列逻辑电路中,不是组合逻辑电路的有( D )。 A. 译码器 B. 编码器 C. 全加器 D. 寄存器 5.一块数据选择器有三个地址输入端,则它的数据输出端最多应有( D )。 A.3 B.6 C.7 D.8 6.组合逻辑电路的特点是( B )。 A. 输出与以前输入有关 B. 输出只由当时输入决定 C. 输出与原来输出有关 D. 输出由当时和以前输入共同决定 7.若在编码器中有90个编码对象,则要求输出二进制代码位数为( C )位。 A.5 B.6 C.7 D.8 8.数据选择器,某瞬间在选择变量作用下,从多路信号中选出( C )。 A.2路 B.全部 C.1路 D. 4路 9.八路数据分配器,其地址输入端有( C )个。 A.1 B.2 C.3 D.4 E.8

10.同步计数器和异步计数器比较,同步计数器的显著优点是( A )。 A.工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟CP控制 11.8 位移位寄存器,串行输入时经( D )个脉冲后,8位数码全部移入寄存器中。 A.1 B.2 C.4 D.8 12.只读存储器ROM中的内容,当电源断掉后又接通,存储器中的内容 ( D )。 A.全部改变 B.全部为0 C.不可预料 D.保持不变 13.基本的逻辑运算是( C )。 A. 异或 B. 与非 C. 与、或、非 D. 或非 14.格雷码的特点是位置相邻的数码中只有( A )。 A. 一位不同 B. 二位不同 C. 高位相同,其他全不同 D. 各位全不同 15.函数F= 的反函数是( A )。 A. B. C. D. 16. 三极管可作为无触点开关用,当它处于截止状态时,相当于开关处于 ( B )。 A. 闭合状态 B. 断开状态 C. 时断时开 D. 先断后开 17. 要区分60个数符,至少需( C )位二进制代码。 A.4 B5 C.6 D.7

数字逻辑设计习题第4章

第4章 组合逻辑电路 4—1 分析下图所示电路的逻辑功能,写出输出的逻辑表达式,列出真值表,说明其逻辑功能。 4—2 逻辑电路如下图所示: 1、写出S 、C 、P 、L 的函数表达式; 2、当取S 和C 作为电路的输出时,此电路的逻辑功能是什么? 4—3 下图是由三个全加器构成的电路,试写出其输出1F ,2F ,3F ,4F 的表达式。 123 B C Z

P和4—4 下图是由3线/8线译码器74LS138和与非门构成的电路,试写出 1 P的表达式,列出真值表,说明其逻辑功能。 2 Array 4—5使用74LS138 译码器及少量门电路对三台设备状态进行监控,由不同指示灯进行指示。当设备正常工作时,指示灯绿灯亮;当有一台设备出故障时,指示灯红灯亮;当有两台设备出故障时,指示灯黄灯亮;当有三台设备 出故障时,指示灯红灯和黄灯都亮。

4—6 下图4.6是由八选一数据选择器构成的电路,试写出当1G 0G 为各种不同的取值时的输出Y 的表达式。 4—7仿照全加器设计一个全减器,被减数为A ,减数为B ,低位来的借位为C ,差为D ,向上借一位为J 。 要求:1.写出真值表,写出D 与J 的表达式;2.用译码器74LS138和必要的基本门电路实现此电路;3.用双四选一数据选择器实现。 G A

4—8 设计一组合逻辑电路,输入为四位二进制码3B 2B 1B 0B ,当 3B 2B 1B 0B 是BCD8421码时输出1=Y ;否则0=Y 。列出真值表,写出 与或非表达式,用集电极开路门实现。 4—9 设计一个多功能组合数字电路,实现下表所示逻辑功能。表中1C 0C 为功能选择输入信号;A ,B 为输入变量;F 为输出。 1.列出真值表,写出F 的表达式; 2.用八选一数据选择器和门电路实现。

数字逻辑复习题

姓名: 学号: 班级: 院(部): 系(教研室)主任签字: 教学院长(主任)签字:

姓名:学号:班级:28.函数F的卡诺图如图所示,其最简与或表达式是【 D 】。 A. D B A D B A F+ =D C A + B.D B A D C A C B A F+ + = C.D C A D B A C B A F+ + = D.D B A D B A D B A F+ + = 29.用四选一数据选择器实现函数Y=0 1 1 A A A A+,应使【 A 】。 A.D0=D2=0,D1=D3=1 B.D0=D2=1,D1=D3=0 C.D0=D1=0,D2=D3=1 D.D0=D1=1,D2=D3=0 30.下图所示的组合逻辑电路,其函数表达式为【 A 】。 A.F AB BD CD =++ B.(0,4,5,7,8,12,13,14,15) F m =∑ C. (1,2,3,6,9,,10,11) F m =∑ D.(0,8,12,14,15) F m =∑ 31.时序电路中不可缺少的部分为【 B 】。 A.组合电路 B.记忆电路 C.同步时钟信号 D.组合电路和记忆电路 32.n个触发器构成的计数器中,有效状态最多有【 D 】个。 A.n B.2n C.2n-1 D. 2n 33.把一个五进制计数器与一个四进制计数器串联可得到【 D 】进制 计数器。 A.4 B.5 C.9 D.20

学号: 班级: A B 等价的逻辑函数为【 A 】。 ∑(0,5)

学号: 班级: 第7 页共8 页第8 页共8 页

姓名: 学号: 班级: 第 9 页 共 8 页 第 10 页 共 8 页 的惟一输出有效电平是【

数字逻辑第一次大作业

数字逻辑第一次大作业

一.“七段数码管字形发生器”真值表(支持共阴极,1亮0灭) 输入变量输出变量数码管显 示 A B C D a b c d e f g 0000 1111110 0 000 1 0110000 1 0010 110110 1 2 001 1 111100 1 3 0100 011001 1 4 010 1 101101 1 5 0110 101111 1 6 011 1 1110000 7 1000 111111 1 8 100 1 111101 1 9 1010 111011 1 A 101 1 001111 1 B 1100 1001110 C 110 1 011110 1 D 1110 100111 1 E 111 1 100011 1 F 二.卡诺图化简: A B C D a 0000 1 000 1 0 0010 1 001 1 1 0100 0 010 1 1 0110 1 011 1 1 1000 1 100 1 1 1010 1 101 1 0 1100 1 110 1 0 1110 1 AB CD 00 01 11 10 00 1 0 1 1 01 0 1 0 1 11 1 1 1 0 10 1 1 1 1 Fa=B?D?+A?BD+A B?C?+A?C+BC+A D?

111 1 1 A B C D b 0000 1 000 1 1 0010 1 001 1 1 0100 1 010 1 0 0110 0 011 1 1 1000 1 100 1 1 1010 1 101 1 0 1100 0 110 1 1 1110 0 111 1 0 A B C D c 0000 1 000 1 1 0010 0 001 1 1 0100 1 010 1 1 0110 1 011 1 1 1000 1 100 1 1 1010 1 101 1 1 1100 0 110 1 1 1110 0 111 1 0 AB CD 00 01 11 10 00 1 1 0 1 01 1 0 1 1 11 1 1 0 0 10 1 0 0 1 Fb=B?D?+B?C?+A?C?D?+A?CD+A C?D AB CD 00 01 11 10 00 1 1 0 1 01 1 1 1 1 11 1 1 0 1 10 0 1 0 1 Fc=A?C?+A?D+A?B+A B?+C?D

数字逻辑复习题

一、写出二进制数1110001.11对应的八进制、十进制、十六进制和8421BCD 码形式的数值。 (1110001.11)2 = (161.6)8 = (113.75)10 = (71.C)16 =(0001 0001 0011.01110101)8421BCD (6C.8)16=(1101100.1)2 = (154.4)8 = (108.5)10 =(0001 0000 1000. 0101)8421BCD (10011000)8421BCD =(1100010 )2=( 98 )10=( 62 )16。 二、下图所示各电路均由TTL 门组成,已知R on =3.2K Ω,R off =0.91K Ω,试分别写出Y 1-Y 4的逻辑函数表达式。 A B Y 1 2 A B 4K 3 Y 4 Y 1-Y 4的逻辑函数表达式 B A Y =1 D C B A Y ?=2 B A B A Y =??=)1)(0(3 C B A C B A Y +=4

Y 1 Y 2 A B 3 9K Y 4 Y 1-Y 4的逻辑函数表达式 B A 1=Y D C B A Y ?=2 0)1()0(3=+++=B A Y C C 4B A B A Y += A B 1 1 B A + A A B A B A

A B 1 AB B A 1 三、 1.要将一D 触发器转换为JK 触发器,则应令D= n n Q K Q J + 2.上升沿触发的JK 触发器输入端波形(D R 为异步清0端,D S 为异步置数端)如下图所示,试画出输出端Q 的工作波形。 CP Q S D R D J K ↑?+=+CP Q K Q J Q n n n )(1 CP Q S D R D J K 3.上升沿触发的D 触发器输入端波形(D R 为异步清0端,D S 为异步置数端)如下图所示,试画出输出端Q 的工作波形。

《数字逻辑电路》期末大作业实验报告

大连外国语大学软件学院 1数字逻辑电路概述 数字逻辑是数字电路逻辑设计的简称,其内容是应用数字电路进行数字系统逻辑设计。电子数字计算机是由具有各种逻辑功能的逻辑部件组成的,这些逻辑部件按其结构可分为组合逻辑电路和时序逻辑电路。组合逻辑电路是由与门、或门和非门等门电路组合形成的逻辑电路;时序逻辑电路是由触发器和门电路组成的具有记忆能力的逻辑电路。有了组合逻辑电路和时序逻辑电路,再进行合理的设计和安排,就可以表示和实现布尔代数的基本运算。 数字逻辑电路有易于集成、传输质量高、有运算和逻辑推理能力等优点,因此被广泛用于计算机、自动控制、通信、测量等领域。一般家电产品中,如定时器、告警器、控制器、电子钟表、电子玩具等都要用数字逻辑电路。 (阐述数字逻辑的现状、目的、意义、功能、方法及作用)2第一种数字逻辑电路 方法原理及功能 数据选择器又称为多路开关,是一种重要的组合逻辑器件,它可以实现从多路数据中选择任何一路数据输出,选择的控制由专门的端口编码决定,称为地址码,数据选择器可以完成很多的逻辑功能,例如函数发生器、桶形移位器、并串转换器、波形产生器等。 1、与非门实现二选一数据选择器: 用一种74SL153及门电路设计实现一位全加器,输入用三个单刀双掷开关分别代表A、B、C,输出用两个指示灯分别代表L1、L1。 设计过程与结果(描述方法的操作过程和结果,配截图详细介绍) 在元件库中单击TTL,再单击74LS系列,选中74LS153D。

仿真结果实际结果 L 1 亮单独打开开关A,B,C时; L1灯泡亮 L 2 亮任意打开两个开关; 灯泡L2亮

L 1 和 L 2 都 亮 同时打开开关A,B,C时; 灯泡L1,L2同时亮。 心得体会 经过许多次的失败,在不断尝试中选择一个适合的方式去解决问题,加强对电路的 理解。通过该实验可以培养我们的动手能力和对数字电路的理解。经检验,符合真值表, 达到数据选择的作用。74ls153为双四选一数据选择器,几多一个非门和或门可以组成 数据比较器。能更好的掌握相关芯片的知识,了解其用途。 失败电路一: 失败电路二:

数字逻辑复习资料

请大家自觉看光盘上的PPT和老师布置的作业和答案以及课本 第一章基本知识 1.1了解 1.2数制及其转换 1.2.1进位计数制: △基数:指计数制中所用到的数字符号的个数。如R进制,R即为基数。 △位权:指在一种进位计数制表示的数中,用来表明不同数位上数值大小的一个固定常数。了解二进制、八进制、十六进制。 举个简单的例子:二进制数1011.01可以表示成: (1011.01)2=1X23+0X22+1X21+1X20+0X2-1+1X2-2 1.2.2数制转换: ①、十进制转换为二进制数(整数部分采用除2去余法,小数部分采用乘2取整法):具体见下图:

②、二进制与八进制、十六进制之间的转换:具体见课本P9。 1.3带符号二进制数的代码表示 主要掌握原码、反码、补码,具体见课本P13(切记0表示正,1表示负) 1.4几种常见的编码: 主要掌握8421码,余3码,格雷码。 下面是二进制数与格雷码的转化过程,二进制数的最高位和格雷码的最高位相同,然后接下来的各位数都要二进制数所在那位同前一位异或产生的结果 作业:P18 1.5 1.7 1.9 1.12 第二章逻辑代数基础 2.1逻辑代数的基本概念 前几节主要是记住一些公理和定理,这也为后面的复合运算打下基础 逻辑代数L式一个封闭的代数系统,它有一个逻辑变量集K,常量0和1以及“与”“或”“非”3种基本运算所构成,记为L={K,+,·,-,0,1} 五条基本公理:课本P19 2.1.1逻辑变量及基本逻辑运算:课本P20 2.1.3逻辑函数的表示法:(常见方法有逻辑表达式、真值表、卡诺图3种)P23有说明,

具体后面讲 2.2逻辑代数的基本定理和规则 2.2.1基本定理:记住8个基本定理,课本P24 2.2.2重要规则(1.、代入规则;2、反演规则; 3.、对偶规则)其中2、反演规则;3.、对偶规则比较重要 反演规则:如果将逻辑函数F表达式中所有的“·”变成“+”,“+”变成“·”,“0”变成“1”,“1”变成“0”,原变量变成反变量,反变量变成原变量(黑体字即为反演规则与对偶规则的区别之处) 对偶规则:如果将逻辑函数F表达式中所有的“·”变成“+”,“+”变成“·”,“0”变成“1”,“1”变成“0”, ①.异或逻辑:变量A、B取值相同,F为0;变量A、B取值相异,F为1; ②.同或逻辑:变量A、B取值相同,F为1;变量A、B取值相异,F为0 具体见P28 2.3逻辑函数表达式的形式和变换 2.3.1逻辑函数表达式有两种基本形式:“与-或表达式”(顾名思义先与后或)和“或-与表达式”(先或后与) 最小项和最大项的定义和性质,要知道什么是最小项和最大项,具体看课本P29, 最大项与最小项之间存在互补关系(这句话在后面的知识经常用到) △逻辑函数表达式的标准形式 (1)、(这个概念老师上课特别提问了好几次的)标准与-或表达式:由若干个最小项相或构成的逻辑表达式称为标准与-或表达式,也叫最小项表达式。 具体内容P31 2.3.3逻辑函数表达式的转换 1.代数转换法 2.真值表转换法(重点)P33 2.4逻辑函数化简: 这一节主要掌握卡诺图的化简法,而且是整章的重点,绝对考 具体内容见课本例子,课本上已经讲的很详细了P37开始 作业:P48 2.2(1)(2) 2.3 2.4 2.6(1)(3) 2.7 2.8(1)(3) 2.11 第三章集成门电路与触发器 3.1-3.2数字集成电路的分类: 1、根据采用的半导体器件分类:有双极型集成电路和单极型集成电路(即MOS集成电路)其实这两节主要是谈谈一些概念,大家理解就好了,关键还是后面两节 3.3逻辑门电路 这节主要掌握与门,或门,非门三种简单逻辑门电路以及TTL逻辑门集成电路,请务必记住各自的逻辑符号。 ①.与门:有两个以上输入端和一个输出端; ②.或门:有两个或两个以上输入端和一输出端; ③.非门:有一输入端和一输出端。 ④.典型TTL与非门:记住工作原理: 输入全高,输出为低; 输入有低,输出为高; 3.4触发器 这节务必记住4种触发器的逻辑功能和工作原理以及能画出输出端Q的波形图。

数字逻辑复习题要点

一、 计算题 1、证明公式C A B A C A AB +=+)( 2、证明公式D B B DA C B D D BC +=++++))(( 3、证明C A C B B A C B A ABC ++=+ 4、证明D B A CD D A BD B A +=+++ 5、证明B A BC B A ABC =++)( 6、证明公式A C C B B A A C C B B A ++=++ 7、用卡诺图化简AB D C D B BC AC F ++++= 8、化简F(A,B,C,D)=∑m (1,3,4,9,11,12,14,15) + ∑d(5,6,7,13) 9、AC BC A D C B AB D C A F ++++=化成最简与非与非式 10、将∑∑+=)7,5,2,0()6,4,1(),,(d C B A Y 化成最简与非与非式 11、将∑∑+=)15,14()1110875320(),,,(d D C B A Y ,,,,,,, 化成最简与非与非式 12、将∑∑+= )15,14,12()1110875320(),,,(d D C B A Y ,,,,,,,化成最简与非与非式 13、分析所示电路逻辑功能。 14、四路数据选择器的选择控制变量01A A 分别接A ,B ,数据输入端3210,,,D D D D 依次接C ,0,0,C ,试分析该电路实现何功能。 15、判断下列函数是否存在冒险,并消除可能出现的冒险 16、分析下图所示计数器为模多少。 17、分析下图所示电路的功能。 2100 -> 10 -> 11 -> 01 -> 00 -> 01 -> 11 -> 10

数字逻辑设计及应用 本科3 答案82870

. . 电子科技大学网络教育考卷(C 卷) (20 年至20 学年度第 学期) 考试时间 年 月 日(120分钟) 课程 数字逻辑设计及应用(本科) 教师签名_____ 大题号 一 二 三 四 五 六 七 八 九 十 合 计 得 分 一、填空题(每空1分,共20分) 1、10111012= 135 8= 5D 16= 1110011 格雷码 2、FF 16= 255 10= 001001010101 8421BCD = 010********* 余3码 3、已知某数的反码是1010101,则该数的对应的原码是 1101010 ,补码是 1101011 ; 4、逻辑运算的三种基本运算是 与或非 ; 5、一个逻辑在正逻辑系统下,表达式为B A +,则该逻辑在负逻辑系统下,表达式为 AB ; 6、逻辑式A /(B+CD /)的反演式为 A+B /(C /+D) ; 7、已知∑= )3,1,0(),,(m C B A F ,则∑=m F / ( 2,4,5,6,7 ) M ∏=( 2,4,5,6,7 ) ; 8、请问图1-8逻辑为Y= (AB)/ ; 9、n 选1的数据选择器的地址输入的位数为 log 2n(向上取整) 位,多路输入端得个数为 n 个; 10、如果用一个JK 触发器实现D 触发器的功能,已知D 触发器的输入 信号为D ,则该JK 触发器的驱动为: J=D;K=D / ; 11、如果用一个D 触发器实现T 触发器的功能,已知T 触发器的输入信号为T ,则该D 触发器的驱动为: T ⊕Q ; 12、如果让一个JK 触发器只实现翻转功能,则该触发器的驱动为: J=K=1 ; 13、利用移位寄存器实现顺序序列信号1001110的产生,则该移位寄存器中触发器的个数为: 大于或等于3 个; 二、选择题(每题1分,共10分) 1、以下有关原码、反码和补码的描述正确的是: ①.二进制补码就是原码除符号位外取反加1; ②.补码即是就是反码的基础上再加1; ③.负数的原码、反码和补码相同; ④.正数的原码、反码和补码相同; 2、下列逻辑表达式中,与D BC C A AB F / / / 1++=不等的逻辑是: ①./ / / BC C A AB ++ ②./ ///D BC C A AB ++ ③./ /C A AB + ④.BD C A AB ++/ / 3、已知门电路的电平参数如下:,,,,V 3.0V V 0.3V V 25.0V V 2.3V L I IH OL OH ≤≥≤≥请问其低电平的噪声容限为: ①. 0.05V ②. 0.2V ③. 2.95V ④. 2.7V 4、下列逻辑中,与/ A Y =相同的逻辑是: ①.1A Y ⊕= ②.0A Y ⊕= ③.A A Y ⊕= ④./ )A A (Y ⊕= 5、有如下所示波形图,已知ABC 为输入变量,Y 为输出变量,我们可以得到该逻辑的函数式为: ①.AC AB Y += ②.C B A Y ++= ③.C B A Y ??= ④./ / / C B A Y ++= 6、在同步状态下,下面哪种时序逻辑器件的状态更新仅仅发生在时钟触发沿来临的瞬间,并且状态更新的依据也仅仅取决于当时的输入情况: ①.锁存器 ②.电平触发的触发器 ③.脉冲触发的触发器 ④.边沿触发的触发器器 7、或非门所构成的SR 触发器的输入为S 和R ,则其工作时的约束条件为: ①.1R S =+ ②.0R S =? ③.0R S / / =+ ④.R S = 8、要实现有效状态数为8的扭环计数器,则所需移位寄存器中的触发器个数为: ①.8 ②.4 ③.3 ④.2 9、下面的电路,属于组合逻辑的电路是: ①.串行数据检测器 ②.多路数据选择器 ③.顺序信号发生器 ④.脉冲序列发生器 10、下面哪些器件不能够实现串行序列发生器 ①.计数器和组合门电路 ②.数据选择器和组合门电路 ③.移位寄存器和组合门电路 ④.触发器和组合门电路 姓名__________________ 专业名称__________________ 班号________________学号__________________教学中心_________________ …………………… …… … … … … …密………………… …… … … … … ……封……………… …… … … …线… … … …… … … …………………… 图1-8 图2-5

相关文档