文档库 最新最全的文档下载
当前位置:文档库 › 数字电路与逻辑实验指导书Quartus

数字电路与逻辑实验指导书Quartus

数字电路与逻辑实验指导书Quartus
数字电路与逻辑实验指导书Quartus

数字电路及逻辑实验指导书

计算机科学与技术学院

实验教学中心

目录

第一章实验平台简介

1.1LP-2900逻辑设计实验平台

1.2逻辑门

第二章QuartusII开发软件的使用

2.1 基于QuartusII的设计输入

2.2 基于QuartusII的平面编辑

2.3 基于QuartusII的模拟仿真

2.4 基于QuartusII的编程下载

第三章数字电路及逻辑实验

3.0 一位半加器设计

3.1 译码器的设计

3.2 数据比较器的设计

3.3 同步计数器的设计

3.4 分频(除频)器的设计

3.5 移位寄存器的设计

3.6 数字显示电路的设计

第一章实验平台简介

1.1LP-2900逻辑设计实验平台

LP-2990逻辑设计实验平台由CPLD晶片板、I/O元件实验板、PC下载界面电路和电源四部分组成。

1.CPLD晶片板

在CPLD晶片板上,有一片Altera 10K系列晶片,Altera EPF10K10TC144-4 CPLD,该晶片提供不断重新下载新电路的弹性与便利。

2.I/O元件实验板

在I/O元件实验板上,有12种I/O元件:4组红绿黄LED;6个共阴极七段显示器;一个蜂鸣器;两个电子骰子;一个时序电路;3组8位开关;4个脉冲按键;一个4x3键盘;一块8x8点矩阵LED显示器;一个液晶显示器;A/D与D/A电路组件;8051单片机模组。

这些I/O元件,提供了调试逻辑电路必要的环境。为了便于实验,给出LP-2900的部分I/O元件的脚位:

DE174LS138)输出端Y0- - -Y5为C1- - -C6,C1- - -C6分别为6个显示器阴极共点端。

RK1 RK2 RK3 为键盘列输出。

DE1、DE2、DE3为译码器(74LS138)的输入端,译码器(74LS138)输出端Y0- - -Y3为C1- - -C4,C1- - -C4分别为键盘的扫描输出。

3.PC下载界面电路

PC与LP2900的通信电路。

1.2 逻辑门

为了便于在图形方式下选取逻辑器件和在文本方式下使用门原语设计逻辑电路,下面给出部分逻辑门符号以供使用。

(1)图形方式逻辑门名称

and2 两输入端与门 andi i输入端与门

or2 两输入端或门 (i = 2 8)

nand2 两输入端与非门

nor2 两输入端或非门

not 非门

xor 异或门

xnor 同或门

tri 三态门

(2)门原语设计使用逻辑符号

and 与如:一位半加器

nand 与非 module fadd(s,c,a,b);//端口列表

or 或 input a,b; //输入

nor 或非 output s,c; //输出

not 非 xor (s,a,b); //s=a异或b

xor 异或 and (c,a,b); //c=a与b

xnor 同或 andmodule

第二章Quartus II开发软件的使用

2.1 基于QuartusII的设计输入

1. 点击桌面图标QuartusII或开始菜单程序的Altera程序项。

2.电路输入(图形方式)

(1)新建工程:Project Wizard。在E盘创建工程。

(2)新建文件:>Design Files>Block Diagram/schematic,出现图形编辑窗口。

(3)电路输入:在图形编辑窗口点击鼠标右键>Insert>Symbol>输入电路符号。

(4)电路连接:使用窗口左侧的绘图工具连接电路。

(5)管角命名:鼠标移动到管角名称处>双击鼠标>输入管角名。

(6)保存文件名:As。生成的文件扩展名为.bdf,并自动添加到工程。

(7)编译工程:Progressing>Start Compilation。或者在工具栏中选项编译工具按钮。

3.文本输入(文本方式)

(1)新建工程:Project Wizard。

(2)新建文件:>Design Files>Verilog HDL Filles,出现文本编辑窗口。编写Verilog程序。

(3)保存文件名:As。生成的文件扩展名为.v,并自动添加到工程。

(4)编译工程:Progressing>Start Compilation。或者在工具栏中选项编译工具按钮。

2.2 基于QuartusII的平面编辑

(1)设备选择:Assignments>device…。

Device family: FLEX10K

Available devices:EPF10K10TC144-4

(2)平面规划:Assignments>Pins。出现图形界面,对管脚进行编辑,在Location

中输入管脚分配号,同时可以在上面的芯片上看到引脚锁定。

(3)编译工程:Progressing>Start Compilation。或者在工具栏中选项编译工具按钮。

2.3 基于QuartusII的模拟仿真

仿真可以分为功能仿真和时序仿真(***功能仿真需用工具生成功能仿真网表文件)。

功能仿真生成网表文件方法:

菜单\Processing\Generate Functional Simulation Netlist。

仿真步骤如下:

(1)在工具栏中选择Settings>Simulator Settings>Simulation mode,设置仿真方式为功能仿真或时序仿真。

(2)创建波形文件:>Vector Waveform File。

(3)选择仿真节点:View>Node Finder。添加波形文件的引脚。

(4)设置仿真时间: Edit>End time :1us

Grid Size:100us

(5)设置波形或数据:利用窗口左侧图标进行设置。

(6)存储波形文件: As。

(7)波形模拟仿真:Progressing>Start Simulation。或点击工具栏中仿真图标。

2.4 基于QuartusII的编程下载

(1)编程下载:Tools> Programmer>Configure (hardtype : ByteBlaster MV)。

(2)功能调试:在LP-CPLD2900数字实验平台上验证电路功能。

第三章数字电路及逻辑实验

逻辑电路根据输出信号对输入信号响应的不同分为两类:组合逻辑电路(简称组合电路)与时序逻辑电路(简称时序电路)。

在组合逻辑电路中,电路在任一时刻的输出信号仅仅决定于该时刻的输入信号,而与

电路的原有的输出状态无关。从电路的结构上看,组合逻辑电路的输出端和输入端之间没

有反馈回路。

在时序逻辑电路中,任何时刻电路的输出不仅取决于该时刻的输入信号,也取决于电

路过去的输入。一般来说,它是由组合逻辑电路和存储电路两部分组成,并形成反馈回路。

3.0 一位半加器的设计

3.0.1实验内容

试设计两个一位二进制变量a、b相加,输出本位和s及进位c的逻辑电路。3.0.2设计方法

1、利用真值表法,写出最简与或逻辑表达式

输入变量

a b

输出变量

s c

00

0 1

10

1 1

00

10

1 0

0 1

s = a’b+ab’=a⊕b c =ab

逻辑符号名:xor---异或门; and2---两输入与门。

2、根据最简逻辑表达式,用QUARTUSII图形输入法,画出逻辑电路。

3、平面编辑、编译及下载调试。

4、用Verilog HDL连续赋值语句assign描述。

module fadd(a,b,s,c,ledcom);

input a,b;

output s,c,ledcom ;

wire a,b,s,c ;

assign ledcom=1;

assign s=!a&&b||a&&!b;

assign c=a&&b;

endmodule

5、用Verilog HDL门原语设计上述逻辑功能(考核点)

设计发挥:设计一位全加器(学生自选)

真值表如下:

表达式如下:

S=A⊕B⊕Cin

=(A⊕B) Cin+AB

C

i

3.1 译码器的设计

3.1.1概念

译码器是一种多输出组合逻辑部件,它能将n个输入变量变换成2的n次方个输出函数,并且每个输出函数对应于n个输入变量的一个最小项。

3.1.2设计内容

试设计一个具有使能端的2:4译码器,要求使能输入端g = 0时,允许对输入的二进制代码进行译码,对应的输出端输出0,其它输出端输出均为1。当使能输入端

g = 1时,禁止对输入的二进制代码进行译码,译码器的输出y0、y1、y2、y3均为1。3.1.3设计方法

1、建立真值表,利用公式法或卡诺图法写出最简与或许逻辑表达式

1

10

1 1

1 1 0 1

1 0 1 1

0 1 1 1

y0’=g’a1’a0’; y1’=g’a1’a0 ; y2’=g’a1 a0’; y3’=g’a1 a0;

y0=(g’a1’a0’)’;y1=(g’a1’a0)’;y2=(g’a1 a0’)’;y3=(g’a1 a0)’;逻辑符号名:nand3---三输入与非门 ; not---非门。

2、根据最简逻辑表达式,用QUARTUSII图形输入法,画出逻辑电路

3、平面编辑、编译及下载调试。

4、用三目条件运算符描述

module decoder (g,a1,a0,y3,y2,y1,y0,ledcom) ;

input a1,a0,g ;

output y3,y2,y1,y0 ;

output ledcom ;

assign ledcom=1 ;

assign y0=(!g&&!a1&&!a0)?0 :1 ; // 信号=条件?表达式1:表达式2;

assign y1=(!g&&!a1&&a0)?0 :1 ;

assign y2=(!g&&a1&&!a0)?0 :1 ;

assign y3=(!g&&a1&&a0)?0 :1 ;

endmodule

5、试用Verilog HDL case语句描述上述逻辑功能(考核点)

3.2 数据比较器的设计

3.2.1概念

在数字系统中,经常需要比较两个数的大小,用来完成两个数码比较的数字逻辑电路称为数据比较器。

3.2.2内容

试设计一个两位数据比较器,当a1a0>b1b0时g=1, 当a1a0

3.2.3设计方法

1、建立功能表,利用公式法或卡诺图法写出逻辑表达式。

注: X 表示任意值

g = a1b1’ + (a1b1+a1’b1’)a0b0’ = a1b1’+ (a1☉b1)a0b0’

s = a1’b1 + (a1b1+a1’b1’)a0’b0 =a1’b1 + (a1☉b1)a0’b0

e = (a1b1+a1’b1’) (a0b0+a0’b0’) = (a1☉b1) (a0☉b0)

符号名:xnor---同或门;xor---异或门;and2---与门;or2---或门;not---非门。

2、根据最简逻辑表达式,用QUARTUSII图形输入法,画出逻辑电路

3、平面编辑、编译、仿真及下载调试。

通过上面设计可以看出比较器电路是较复杂的,当两个数的位数越大电路就越发复杂。因此用硬件描述语言设计比较器就越发显示出优势。

4、用Veri HDL if-else条件语句描述。

module comp(a,b,g,s,e,ledcom);

input [1:0]a,b;

output g,s,e;

output ledcom;

reg g,s,e;

assign ledcom=1;

always @(a or b)

begin

if(a>b) begin g=1;s=0;e=0;end

else if(a

else begin e=1;g=0;s=0;end

end

endmodule

5、试用Verillog HDL三目条件运算符描述(考核点)

3.3 同步计数器的设计

3.3.1 概念

计数器的功能是记忆脉冲的个数,它所记忆脉冲的最大数目称为该计数器的模。计数器可分为同步计数器(又称并行计数器)和异步计数器(又称串行计数器)。构成计数器的核心元件是触发器。同步计数器特点是各触发器的CP端连在一起,既受同一个脉冲信号控制。

3.3.2 设计内容及方法

试设计一个三位二进制加1同步计数器(模=8),其步骤如下:

1、根据逻辑要求写出计数器的状态转移表

2、根据状态转移表写出输出方程和激励方程

dz = q2q1q0 ;d2 =∑ m(3,4,5,6)= q2’q1q0 + q2q1’+q2q0’;

d1=∑m (1,2,5,6)=q1⊕q0; d0=∑m (0,2,4,6)=q0’

3、在QUARTUSII图形编辑窗口,调出7474正边沿触发的双D触发器元件及所需的逻

辑门,然后根据输出方程和激励方程连接电路,检查与编辑、平面配置、编辑、波形仿真或下载调试。

z (7) q2(8) q1(9) q0(10) reset(47) cp(48) ledcom(141)

5、Verlog HDL描述(1) Verlog HDL描述(2)

module conter3(cp,reset,q,z,ledcom); module conter3(cp,reset,q,z,ledcom);

input cp,reset ; input cp,reset ;

output[2:0]q ; output[2:0]q ;

output z,ledcom ; output z,ledcom ;

reg [2:0]q ; reg [2:0]q ;

reg z ; reg z ;

assign ledcom=1; assign ledcom=1;

always @(posedge cp ) always @(posedge cp or negedge reset)

begin begin

if(!reset) if(!reset)

begin q<=0;z<=0;end begin q<=0;z<=0;end

else else

begin begin

if(q!=3'b111) if(q!=3'b111)

begin q<=q+1;z<=0;end begin q<=q+1;z<=0;end

else else

begin q<=0; z<=1;end begin q<=0; z<=1;end end end

end end

endmodule endmodule

6、试用Verlog HDL语言设计四位可逆计数器,当load=1时将d0数据加载到可

逆计数器;load=0时,控制信号as=01进行加1计数,当as=10进行减1计

数,当as=00或11停止计数。

3.4 分频器(除频器)的设计

在数字系统中,常需要各种不同的时钟频率,从HZ、KHZ到MHZ。而各种频率的产生,一般依赖主频率(例如石英振荡器产生的频率)分频得到。分频器是计数器应用之一。3.4.1实验内容

利用例化器件7474,试设计一个5分频器(对主频率除5)。

3.4.2 设计方法

1、写出5分频器(对主频率除5)状态转移表

2

f = ∑m(0,1)=q2’q1’

d2=∑ m(3)+ ∑Φ(5,6,7)=q1q0

d1=∑ m(1,2)+ ∑Φ(5,6,7)=q1’q0+q1q0’=(q1⊕q0)

d0=∑m(0,2)=q2’q0’

3、启动MAX+PLUS2设计软件,调出例化器件7474及所需逻辑门,根据输出方程和

激励方程连接电路。存储检查、编辑、波形仿真。

clk=1/T=1/0.0000002s=500khz f=clk/5=100khz

4、硬件语言描述

用Verlog HDL描述(占空比可设置的除5分频器)

module div5 (clk , reset , f) ;

input clk , reset ;

output f ;

reg q2 , q1 , q0,f ;

always @(posedge clk)

begin

if (!reset) begin {q2,q1,q0}<=0 ; f<=0 ; end

else begin

case ({q2,q1,q0})

0 : begin {q2,q1,q0}<=1 ; f<=1;end

1 : begin {q2,q1,q0}<=

2 ; f<=1;end

2 : begin {q2,q1,q0}<=

3 ; f<=0;end

3 : begin {q2,q1,q0}<=

4 ; f<=0;end

4 : begin {q2,q1,q0}<=0 ; f<=0;end

default: begin {q2,q1,q0}<=0 ; f<=0 ; end

endcase

end

end

endmodule

用Verlog HDL描述(2+2*N的分频器)

module divfx(clk,reset,fx,ledcom);

input clk,reset;

output fx , ledcom;

reg [24:0]n;

reg fx;

assign ledcom=1;

always @(posedge clk)

begin

if(!reset)

begin n<=0;fx<=0;end

else if(n= =3) //(n= =N) N为整数

begin fx<=!fx;n<=0;end

else

begin n<=n+1;end

end

endmodule

5、试用Verlog HDL设计能对主频进行2、4、8、16分频的时序电路。(考核点)

3.5 移位寄存器

3.5.1 概念

在时钟信号控制下,将所寄存的数据向左或向右移位的寄存器称为移位寄存器。3.5.2设计内容

试设计一个四位同步并入串出的右移寄存器。

要求当加载控制信号load高电平时,在时钟作用下将输入四位二进制数据x3x2x1x0加载到移位寄存器q3q2q1q0中; 当load低电平时,在时钟clk作用下将四位移位寄存器中的数据向右移位一位,既0 q3 q2 q1 q0 so 。

3.5.3设计

1

Q3+1 Q2+1 Q1+1 Q0+1

2、移位操作

d(so) = load’∑m (1,3,5,7,9,11,13,15) =load’ q0

d0 = load’ ∑m (2,3,6,7,10,11,14,15) = load’q1

d1 = load’ ∑m (4,5,6,7,12,13,14,15) =loa d’q2

d2 = load’ ∑m (8,9,10,11,,12,13,14,15) = load’q3

d3 = 0

3、加载操作

d0=load x0

d1=load x1

d2=load x2

d3=load x3

综合2和3两相操作的逻辑表达式得到激励函数如下:d0= load x0 + load’q1

d1= load x1 + load’q2

d2= load x2 + load’q3

d3= load x3 +0

d(so) = load’ q0

4、实验电路

根据激励函数画出电路如下:

5、启动QuartusII设计软件,调出例化器件7474及所需逻辑门,根据输出方程和激

励方程连接电路。存储检查、编辑、波形仿真。

6、用Verilog HDL描述

module PISO_4 (clk , load , x3 , x2 , x1 , x0 , q3,q2,q1,q0,so , ledcom) ;

input clk , load , x3 , x2 , x1 , x0 ; //(47) (48) (49) (51) (59) (60)

output q3,q2,q1,q0,so , ledcom ;

reg q3 , q2 , q1 , q0 , so; //(7 ) (8 ) (9) (10) (11)

assign ledcom = 1 ; //(141)

always @ (posedge clk )

begin

if (load)

{ q3 , q2 , q1 , q0}<= { x3 , x2 , x1 , x0} ; // { }为拼接符,将{ }内独立的二else // 进制位拼接到一起。

begin

{ q3 , q2 , q1 , q0 , so} <= { q3 , q2 , q1 , q0 , so} >>1 ;

end

end

endmodule

8、在附加sel选择控制信号的控制下,试用Verilog HDL设计四位可左右移的移位

寄存器,在load=1加载数据;load=0时移位操作:sel=01左移,左移时寄存器的高位送so; sel=10时右移,右移时寄存器的低位送so;sel=00或11时暂停。

3.6数码管显示实验(综合性实验)

该实验涵盖了组合逻辑、同步实序和异步实序,因此是综合性质的实验。

3.6.1设计内容

利用Verilog HDL硬件描述语言,设计一个将开关SW上的BCD数据在数码管上循环移位显示的控制器,将其嵌入实验平的台电路中实现循环移位显示控制。要求控制端pause 高电平时,循环移位显示(移位时间控制在1s),pause低电平时暂停循环移位显示。3.6.2设计

1、平台显示模块原理图、引脚及功能

d3、d2、d1、d0 :为开关sw4—sw1输入的BCD数。

a、b、c、d、e、f、g :对应BCD数的七段码输出。

de1、de2、de3 :数码管位选代码输出。

pause :为开关sw8输入的移位/暂停控制信号。

根据题意要求,设计的逻辑控制模块应具有以下功能:

(1)、移位定时控制(利用计数器对主频计数实现时间定时)。(2)、键盘BCD码转换成对应的七段段码(DP不用)。

(3)、位选择控制(修改de1、de2、de3的输出编码实现)。

(4)、移位与暂停控制(利用pause控制信号的状态实现)。

2、Verilog HDL硬件描述语言程序

module led (clk ,pause,d3,d2,d1,d0, a , b , c , d , e , f , g , de3 , de2 , de1 );

input clk , pause , d3 , d2 , d1 , d0 ;

output a , b , c , d , e , f , g ;

output de3,de2,de1;

reg [24:0] num ;

reg a , b , c , d , e , f , g , de3 , de2 , de1 ;

reg t1s;

always @ (posedge clk) //产生定时脉冲,既t1s(周期)=1秒。

begin //用于移位定时控制

if (num= = 5000000)

begin t1s<=! t1s ; num<= 0 ; end

else

数字逻辑实验指导书(multisim)(精)

实验一集成电路的逻辑功能测试 一、实验目的 1、掌握Multisim软件的使用方法。 2、掌握集成逻辑门的逻辑功能。 3、掌握集成与非门的测试方法。 二、实验原理 TTL集成电路的输入端和输出端均为三极管结构,所以称作三极管、三极管逻辑电路(Transistor -Transistor Logic 简称TTL电路。54 系列的TTL电路和74 系列的TTL电路具有完全相同的电路结构和电气性能参数。所不同的是54 系列比74 系列的工作温度范围更宽,电源允许的范围也更大。74 系列的工作环境温度规定为0—700C,电源电压工作范围为5V±5%V,而54 系列工作环境温度规定为-55— ±1250C,电源电压工作范围为5V±10%V。 54H 与74H,54S 与74S 以及54LS 与74LS 系列的区别也仅在于工作环境温度与电源电压工作范围不同,就像54 系列和74 系列的区别那样。在不同系列的TTL 器件中,只要器件型号的后几位数码一样,则它们的逻辑功能、外形尺寸、引脚排列就完全相同。 TTL 集成电路由于工作速度高、输出幅度较大、种类多、不易损坏而使用较广,特别对我们进行实验论证,选用TTL 电路比较合适。因此,本实训教材大多采用74LS(或74系列TTL 集成电路,它的电源电压工作范围为5V±5%V,逻辑高电平为“1”时≥2.4V,低电平为“0”时≤0.4V。 它们的逻辑表达式分别为:

图1.1 分别是本次实验所用基本逻辑门电路的逻辑符号图。 图1.1 TTL 基本逻辑门电路 与门的逻辑功能为“有0 则0,全1 则1”;或门的逻辑功能为“有1则1,全0 则0”;非门的逻辑功能为输出与输入相反;与非门的逻辑功能为“有0 则1,全1 则0”;或非门的逻辑功能为“有1 则0,全0 则1”;异或门的逻辑功能为“不同则1,相同则0”。 三、实验设备

数字电路试验指导书

第一篇数字电路实验指导书 实验一集成逻辑门的功能测试与数字箱的使用 一、实验目的 1、了解数字实验箱的原理,掌握其使用方法 2、掌握基本门电路逻辑功能的测试方法 3、了解TTL和CMOS器件的使用特点 二、实验一起及实验器件 1、数字实验箱 2、20MHz双踪示波器 3、500型万用表 4、实验器件: 74LS00 1片CD4001 1片 74LS86 1片CD4011 1片 三、实验任务 (一)数字实验箱的使用 1、用500型万用表分别测出固定直流稳压源的出去电压值 2、用500型万用表分别测出十六路高低电平信号源和单次脉冲信号源的高低电平值,并观 察单次脉冲前后沿的变化 3、用示波器测出连续冲源的频率范围及幅度Vp-p值 4、分别用十六路高低电平信号源:单次脉冲信号源检查十六路高低电平指示灯的好坏(二)集成逻辑门的功能测试 1、分别写出74LS00,74LS86,CD4001,CD4011 1的逻辑表达式,列出其真表值,并分别 对其逻辑功能进行静态测试 2、用74LS00完成下列逻辑功能,分别写出逻辑表达式,画出逻辑图,测试其功能。 四、预习要求 1、复习数字试验箱的组成和工作原理 2、复习TTl和CMOS电路的命名,分别及使用规则 3、认真查阅实验器件的功能表和管脚图 4、列出实验任务的记录数据表格,写出实验的方法、步骤,画出实验电路 实验二集成逻辑门的参数测试 一、实验目的 1、熟悉集成逻辑门主要参数的意义 2、掌握集成逻辑门主要参数的测试方法 3、了解TTL器件和CMOS器件的使用特点 二、实验仪器与器件 1、数字实验箱 2、20MHz双踪示波器 3、500型万用表 4、实验器件:

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

数字逻辑电路实验报告

数字逻辑电路 实验报告 指导老师: 班级: 学号: 姓名: 时间: 第一次试验一、实验名称:组合逻辑电路设计

二、试验目的: 1、掌握组合逻辑电路的功能测试。 2、验证半加器和全加器的逻辑功能。 3、、学会二进制数的运算规律。 三、试验所用的器件和组件: 二输入四“与非”门组件3片,型号74LS00 四输入二“与非”门组件1片,型号74LS20 二输入四“异或”门组件1片,型号74LS86 四、实验设计方案及逻辑图: 1、设计一位全加/全减法器,如图所示: 电路做加法还是做减法是由M决定的,当M=0时做加法运算,当M=1时做减法运算。当作为全加法器时输入信号A、B和Cin分别为加数、被加数和低位来的进位,S 为和数,Co为向上的进位;当作为全减法时输入信号A、B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上位的借位。 (1)输入/输出观察表如下: (2)求逻辑函数的最简表达式 函数S的卡诺图如下:函数Co的卡诺如下: 化简后函数S的最简表达式为: Co的最简表达式为:

(3)逻辑电路图如下所示: 2、舍入与检测电路的设计: 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于5是,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如图所示: (1)输入/输出观察表如下: B8 B4 B2 B1 F2 F1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 0 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 1

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

[整理]15数字逻辑实验指导书1

------------- 数字逻辑与数字系统实验指导书 青岛大学信息工程学院实验中心巨春民 2015年3月

------------- 实验报告要求 本课程实验报告要求用电子版。每位同学用自己的学号+班级+姓名建一个文件夹(如2014xxxxxxx计算机X班张三),再在其中以“实验x”作为子文件夹,子文件夹中包括WORD 文档实验报告(名称为“实验x实验报告”,格式为实验名称、实验目的、实验内容,实验内容中的电路图用Multisim中电路图复制粘贴)和实验中完成的各Multisim文件、VerilogHDL源文件、电路图和波形图(以其实验内容命名)。

实验一电子电路仿真方法与门电路实验 一、实验目的 1.熟悉电路仿真软件Multisim的安装与使用方法。 2.验证常用集成逻辑门电路的逻辑功能。 3.掌握各种门电路的逻辑符号。 4.了解集成电路的外引线排列及其使用方法。 5. 学会用Multisim设计子电路。 二、实验内容 1.用逻辑门电路库中的集成逻辑门电路分别验证二输入与门、或非门、异或门和反相器的逻辑功能,将验证结果填入表1.1中。 注:与门型号7408,或门7432,与非门7400,或非门7402,异或门7486,反相器7404. 2.用 L=ABCDEFGH,写出逻辑表达式,给出逻辑电路图,并验证逻辑功能填入表1.2中。 ()' 三、实验总结 四、心得与体会

实验二门电路基础 一、实验目的 1. 掌握CMOS反相器、与非门、或非门的构成与工作原理。 2. 熟悉CMOS传输门的使用方法。 3. 了解漏极开路的门电路使用方法。 二、实验内容 1. 用一个NMOS和一个PMOS构成一个CMOS反相器,实现Y=A’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-1。 表2-1 CMOS反相器逻辑功能表 2. 用2个NMOS和2个PMOS构成一个CMOS与非门,实现Y=(AB)’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-2。 3. 用2个NMOS和2个PMOS构成一个CMOS或非门,实现Y=(A+B)’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-3。 表2-3 CMOS或非门逻辑功能表 4. 用CMOS传输门和反相器构成异或门,实现Y=A B 。给出电路图,测试其逻辑功能填入表2-4。

数字逻辑个性课实验报告

学生学号0121410870432实验成绩 学生实验报告书 实验课程名称逻辑与计算机设计基础 开课学院计算机科学与技术学院 指导教师姓名肖敏 学生姓名付天纯 学生专业班级物联网1403 2015--2016学年第一学期

译码器的设计与实现 【实验要求】: (1)理解译码器的工作原理,设计并实现n-2n译码器,要求能够正确地根据输入信号译码成输出信号。(2)要求实现2-4译码器、3-8译码器、4-16译码器、8-28译码器、16-216译码器、32-232译码器。 【实验目的】 (1)掌握译码器的工作原理; (2)掌握n-2n译码器的实现。 【实验环境】 ◆Basys3 FPGA开发板,69套。 ◆Vivado2014 集成开发环境。 ◆Verilog编程语言。 【实验步骤】 一·功能描述 输入由五个拨码开关控制,利用led灯输出32种显示 二·真值表

三·电路图和表达式

四·源代码 module decoder_5( input [4:0] a, output [15:0] d0 ); reg [15:0] d0; reg [15:0] d1; always @(a) begin case(a) 5'b00000 :{d1,d0}=32'b1000_0000_0000_0000_0000_0000_0000_0000; 5'b00001 :{d1,d0}=32'b0100_0000_0000_0000_0000_0000_0000_0000; 5'b00010 :{d1,d0}=32'b0010_0000_0000_0000_0000_0000_0000_0000; 5'b00011 :{d1,d0}=32'b0001_0000_0000_0000_0000_0000_0000_0000; 5'b00100 :{d1,d0}=32'b0000_1000_0000_0000_0000_0000_0000_0000; 5'b00101 :{d1,d0}=32'b0000_0100_0000_0000_0000_0000_0000_0000; 5'b00110 :{d1,d0}=32'b0000_0010_0000_0000_0000_0000_0000_0000; 5'b00111 :{d1,d0}=32'b0000_0001_0000_0000_0000_0000_0000_0000; 5'b01000 :{d1,d0}=32'b0000_0000_1000_0000_0000_0000_0000_0000; 5'b01001 :{d1,d0}=32'b0000_0000_0100_0000_0000_0000_0000_0000; 5'b01010 :{d1,d0}=32'b0000_0000_0010_0000_0000_0000_0000_0000; 5'b01011 :{d1,d0}=32'b0000_0000_0001_0000_0000_0000_0000_0000; 5'b01100 :{d1,d0}=32'b0000_0000_0000_1000_0000_0000_0000_0000; 5'b01101 :{d1,d0}=32'b0000_0000_0000_0100_0000_0000_0000_0000; 5'b01110 :{d1,d0}=32'b0000_0000_0000_0010_0000_0000_0000_0000; 5'b01111 :{d1,d0}=32'b0000_0000_0000_0001_0000_0000_0000_0000; 5'b10000 :{d1,d0}=32'b0000_0000_0000_0000_1000_0000_0000_0000; 5'b10001 :{d1,d0}=32'b0000_0000_0000_0000_0100_0000_0000_0000; 5'b10010 :{d1,d0}=32'b0000_0000_0000_0000_0010_0000_0000_0000; 5'b10011 :{d1,d0}=32'b0000_0000_0000_0000_0001_0000_0000_0000; 5'b10100 :{d1,d0}=32'b0000_0000_0000_0000_0000_1000_0000_0000; 5'b10101 :{d1,d0}=32'b0000_0000_0000_0000_0000_0100_0000_0000; 5'b10110 :{d1,d0}=32'b0000_0000_0000_0000_0000_0010_0000_0000; 5'b10111 :{d1,d0}=32'b0000_0000_0000_0000_0000_0001_0000_0000; 5'b11000 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_1000_0000; 5'b11001 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0100_0000; 5'b11010 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0010_0000;

数字电路实验指导书2016

***************************************************** ***************************************************** *********************************************** 数字电路 实验指导书 广东技术师范学院天河学院电气工程系

目录 实验系统概术 (3) 一、主要技术性能 (3) 二、数字电路实验系统基本组成 (4) 三、使用方法 (12) 四、故障排除 (13) 五、基本实验部分 (14) 实验一门电路逻辑功能及测试 (14) 实验二组合逻辑电路(半加器全加器及逻辑运算) (18) 实验三译码器和数据选择器 (43) 实验四触发器(一)R-S,D,J-K (22) 实验五时序电路测试及研究 (28) 实验六集成计数器161(设计) (30) 实验七555时基电路(综合) (33) 实验八四路优先判决电路(综合) (43) 附录一DSG-5B型面板图 (45) 附录二DSG-5D3型面板图 (47) 附录三常用基本逻辑单元国际符号与非国际符号对照表 (48) 附录四半导体集成电路型号命名法 (51) 附录五集成电路引脚图 (54)

实验系统概述 本实验系统是根据目前我国“数字电子技术教学大纲”的要求,配合各理工科类大专院校学生学习有关“数字基础课程,而研发的新一代实验装置。”配上Lattice公司ispls1032E可完成对复杂逻辑电路进行设计,编译和下载,即可掌握现代数字电子系统的设计方法,跨入EDA 设计的大门。 一、主要技术性能 1、电源:采用高性能、高可靠开关型稳压电源、过载保护及自动恢复功能。 输入:AC220V±10% 输出:DC5V/2A DC±12V/0.5A 2、信号源: (1)单脉冲:有两路单脉冲电路采用消抖动的R-S电路,每按一次按钮开关产生正、负脉冲各一个。 (2)连续脉冲:10路固定频率的方波1Hz、10Hz、100Hz、1KHz、10KHz、100KHz、500KHz、1MHz、5MHz、10MHz。 (3)一路连续可调频率的时钟,输出频率从1KHz~100KHz的可调方波信号。 (4)函数信号发生器 输出波形:方波、三角波、正弦波 频率范围:分四档室2HZ~20HZ、20HZ~200HZ、200HZ~2KHZ、2KHZ~20HZ。 3、16位逻辑电平开关(K0~K15)可输出“0”、“1”电平同时带有电平指示,当开关置“1”电平时,对应的指示灯亮,开关置“0”电平时,对应的指示灯灭,开关状态一目了然。 4、16位电平指示(L0~L15)由红、绿灯各16只LED及驱动电路组成。当正逻辑“1”电平输入时LED红灯点亮,反之LED绿灯点亮。

数字电路实验

实验2 组合逻辑电路(半加器全加器及逻辑运算) 一、实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及材料 1.Dais或XK实验仪一台 2.万用表一台 3.器件:74LS00 三输入端四与非门3片 74LS86 三输入端四与或门1片 74LS55 四输入端双与或门1片 三、预习要求 1.预习组合逻辑电路的分析方法。 2.预习用与非门和异或门构成的半加器、全加器的工作原理。 3.学习二进制数的运算。 四、实验内容 1.组合逻辑电路功能测试。 图2-1 ⑴用2片74LS00组成图2-1所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 ⑵图中A、B、C接电平开关,Y1、Y2接发光管显示。 ⑶按表2-1要求,改变A、B、C的状态填表并写出Y1、Y2逻辑表达式。 ⑷将运算结果与实验比较。

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图2-2。 图2-2 ⑴在实验仪上用异或门和与门接成以上电路。A、B接电平开关S,Y、Z接电平显示。 ⑵按表2-2要求改变A、B状态,填表。 3.测试全加器的逻辑功能。 ⑴写出图2-3电路的逻辑表达式。 ⑵根据逻辑表达式列真值表。 ⑶根据真值表画逻辑函数SiCi的卡诺图。 图2-3 ⑷填写表2-3各点状态。

⑸按原理图选择与非门并接线进行测试,将测试结果记入表2-4,并与上表进行比较看逻辑功能是否一致。 4.测试用异或、与或和非门组成的全加器的逻辑功能。 全加器可以用两个半加器和两个与门一个或门组成,在实验中,常用一块双异或门、一个与或门和一个非门实现。 ⑴画出用异或门、与或非门和与门实现全加器的逻辑电路图,写出逻辑表达式。 ⑵找出异或门、与或非门和与门器件,按自己画出的图接线。接线时注意与或非门中不用的与门输入端接地。 ⑶当输入端Ai、Bi、Ci-1为下列情况时,用万用表测量Si和Ci的电位并将其转为逻辑状态填入表2-5。 五、实验报告 1.整理实验数据、图表并对实验结果进行分析讨论。 2.总结组合逻辑电路的分析方法。 实验3 触发器 一、实验目的 1.熟悉并掌握R-S、D、J-K触发器的构成,工作原理和功能测试方法。 2.学会正确使用触发器集成芯片。 3.了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1.双踪示波器一台 2.Dais或XK实验仪一台 3.器件74LS00 二输入端四与非门1片 74LS74 双D触发器1片 74LS112 双J-K触发器1片 二、实验内容

数字逻辑实验指导书(1)

实验一 实验箱及小规模集成电路的使用 一 实验目的 1 掌握实验箱的功能及使用方法 2 学会测试芯片的逻辑功能 二 实验仪器及芯片 1 实验箱 2 芯片:74LS00 二输入端四与非门 1片 74LS86 二输入端四异或门 1片 74LS04 六非门 1片 三 实验内容 1 测试芯片74LS00和74LS86的逻辑功能并完成下列表格。 (1) 74LS00的14脚接+5V 电源,7脚接地;1、2、4、5、9、10、12、13脚接逻辑开关,3、6、8、11接发光二极管。(可以将1、4、9、12接到一个逻辑开关上,2、5、10、13接到一个逻辑开关上。)改变输入的状态,观察发光二极管。74LS86的接法74LS00基本一样。 表 74LS00的功能测试 表 74LS86的功能测试 (2)分析74LS00和74LS86的四个门是否都是完好的。 2 用74LS00和74LS04组成异或门,要求画出逻辑图,列出异或关系的真值表。 (3)利用74LS00和74LS04设计一个异或门。画出设计电路图。

实验二译码器和数据选择器 一实验目的 1继续熟悉实验箱的功能及使用方法 2掌握译码器和数据选择器的逻辑功能 二实验仪器及芯片 1 实验箱 2 芯片:74LS138 3线-8线译码器 1片 74LS151 八选一数据选择器 1片 74LS20 四输入与非门 1片 三实验内容 1 译码器功能测试(74LS138) 芯片管脚图如图所示,按照表连接电路,并完成表格。其中16脚接+5V,8脚接地,1~6脚都接逻辑开关,7、9、10、11、12、13、14、15接发光二极管。 表 2 数据选择器的测试(74LS151) 按照表连接电路,并完成表格。其中16脚接+5V,8脚接地;9、10、11,为地址输入端,接逻辑开关;4、3、2、1、12、13、14、15为8个数据输入端,接逻辑开关;G为选通输入端,Y为输出端,接发光二极管。

数字电路实验指导书

第一章单元实验 实验一逻辑门电路的研究 一、实验目的: 1.分析“门”的逻辑功能。 2.分析“门”的控制功能。 3.熟悉门电路的逻辑交换及其功能的测试方法。 二、实验使用仪器和器件: 1.数字逻辑电路学习机一台。 2.万用表一块。 三、实验内容和步骤: 1.TTL集成门逻辑功能的测试: ⑴“与非门”逻辑功能的测试: 在学习机上插入74LS10芯片,任选一个三输入端“与非门”按表1完成逻辑功能的测试(输入“1”态可悬空或接5V,“0”态接地)。 表1 ⑵用“与或非”门实现Z=AB+C的逻辑功能: 在学习机上插入74LS54芯片,做Z=AB+C逻辑功能的测试,完成表2的功能测试并记录。

表2 注意:测试前应将与或非门不用的与门组做适当处理。 2.“门”控制功能的测试: ⑴“与非”门控制功能的测试: 按图1接线,设A 为信号输入端,输入单脉冲,B 为控制端接控制逻辑电平“0”或“1”。输出端Z 接发光二极管(LED )进行状态显示,高电平时亮。按表3进行测试,总结“封门”“开门”的规律。 图1 “与非门”控制功能测试电路 表3 ⑵用“与非门”组成下列电路,并测试它们的功能

“或”门:Z=A+B “与”门:Z=AB “或非”门:Z=A+B “与或”门:Z=AB+CD 要求:画出电路图和测试记录表格,并完成逻辑功能的测试,总结控制功能的规律。 四、预习要求: 要求认真阅读实验指导书并完成要求自拟的实验电路和测试记录表格,本实验属于一般验证性实验,学生应对所有测试表的结果可预先填好,实验时只做验证,且可做到胸中有数,防止盲目性,增加自觉性。 五、实验报告要求: 总结“与非”、“与”、“或”、“或非”门的控制功能。 六、思考题: 1.为什么TTL与非门的输入端悬空则相当于输入逻辑“1”电平,CMOS与非门能否这样处理? 2.与或非门不用的与门组如何处理?

数字电路实验指导书

数字电路实验指导书 上海大学精密机械工程系2010年10月

目录 一、概述 二、实验一基本电路逻辑功能实验 三、实验二编码器实验 四、实验三寄存器实验 五、实验四译码器实验 六、实验五比较器实验 七、实验六加法器实验 八、实验七计数器实验 九、附录一数字电路实验基本知识 十、附录二常用实验器件引脚图 十一、附录三实验参考电路 十二、附录四信号定义方法与规则十三、附录五 DS2018实验平台介绍

前言 《数字电路A》课程是机电工程及自动化学院机械工程自动化专业和测控技术与仪器专业的学科基础必修课。课程介绍数字电路及控制系统的基本概念、基本原理和应用技术,使学生在数字电路方面具有一定的理论知识和实践应用能力。该课程是上海大学和上海市教委的重点课程建设项目和上海大学精品课程,课程教学内容和方式主要考虑了机械类专业对电类知识的需求特点,改变了电子专业类(如信息通信、电气自动化专业)这门课比较注重教授理论性和内部电路构成知识的方式,加强应用设计性实验,主要目的是让学生能在理论教学和实验中学会解决简单工程控制问题的基本方法和技巧,能够设计基本的实用逻辑电路。 本书是《数字电路A》的配套实验指导书,使用自行开发的控制系统设计实验箱,所有实验与课堂理论教学相结合,各实验之间相互关联,通过在实验箱上设计构建不同的数字电路功能模块,以验证理论教学中学到的各模块作用以及模块的实际设计方法。在所有功能模块设计结束后,可以将各模块连接在一起,配上输入输出装置,构成一个完整的工程控制系统。 为本课程配套的输入输出装置是颗粒糖果自动灌装控制和一维直线运动控制,颗粒糖果自动灌装系统的框图如下图所示: 颗粒糖果灌装系统框图 本套实验需要设计的功能模块包括:编码器、寄存器、译码器、比较器、加法器、计数器、光电编码器辩向处理电路、步进电机旋转控制环形分配电路等。

数字电路实验指导书

数字逻辑电路 实验指导书 师大学计算机系 2017.10 . .

数字逻辑电路实验 Digital Logic Circuits Experiments 一、实验目的要求: 数字逻辑电路实验是计算机科学与技术专业的基础实验,与数字逻辑电路理论课程同步开设(不单独设课),是理论教学的深化和补充,同时又具有较强的实践性,其目的是通过若干实验项目的学习,使学生掌握数字电子技术实验的基本方法和实验技能,培养独立分析问题和解决问题的能力。 二、实验主要容: 教学容分为基础型、综合型,设计型和研究型,教学计划分为多个层次,学生根据其专业特点和自己的能力选择实验,1~2人一组。但每个学生必须选做基础型实验,综合型实验,基础型实验的目的主要是培养学生正确使用常用电子仪器,掌握数字电路的基本测试方法。按实验课题要求,掌握设计和装接电路,科学地设计实验方法,合理地安排实验步骤的能力。掌握运用理论知识及实践经验排除故障的能力。综合型实验的目的就是培养学生初步掌握利用EDA软件的能力,并以可编程器件应用为目的,培养学生对新技术的应用能力。初步具有撰写规技术文件能力。设计型实验的目的就是培养学生综合运用已经学过的电子技术基础课程和EDA软件进行电路仿真实验的能力,并设计出一些简单的综合型系统,同时在条件许可的情况下,可开设部分研究型实验,其目的是利用先进的EDA软件进行电路仿真,结合具体的题目,采用软、硬件结合 的方式,进行复杂的数字电子系统设计。 . .

数字逻辑电路实验 实验1 门电路逻辑功能测试 实验预习 1 仔细阅读实验指导书,了解实验容和步骤。 2 复习门电路的工作原理及相应逻辑表达式。 3 熟悉所用集成电路的引线位置及各引线用途。 4 熟悉TTL门电路逻辑功能的测试。 5 了解数字逻辑综合实验装置的有关功能和使用方法。 实验目的 1 熟悉数字逻辑实验装置的有关功能和使用方法。 2 熟悉双踪示波器的有关功能和使用方法。 3 掌握门电路的逻辑功能,熟悉其外形和外引线排列。 4 学习门电路的测试方法。 实验仪器 1 综合实验装置一套 2 数字万用表一块 3 双踪示波器一台 4 器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 两输入端四异或门1片 74LS04 六反相器1片 实验原理说明 数字电路主要研究电路的输出与输入之间的逻辑关系,这种逻辑关系是由门电路的组合来实现的。门电路是数字电路的基本单元电路。门电路的输出有三种类型:图腾柱输出(一般TTL门电路)、集电极开路(OC门)输出和三态(3S)输出。它们的类型、逻辑式、逻辑符号与参考型号见表1-0。门电路的输入与输出量均为1和0两种逻辑状态。我们在实验中可以用乒乓开关的两种位置表示输入1和0两种状态,当输入端为高电平时,相应的输入端处于1位置,当输入端为低电平时,相应的输入端处于0位置。我们也可以用发光二极管的两种状态表示输出1和0两种状态,当输出端为高电平时,相应的发光二极管亮,当输出端为低电平时,相应的发光二极管不亮。我们还可以用数字万用表直接测量输出端的电压值,当电压值为3.6V左右时为高电平,表示1状态;当电压值为0.3V以下时为低电平,表示0状态。在实验中,我们可以通过测试门电路输入与输出的逻辑关系,分析和验证门电路的逻辑功能。我们实验中的集成电路芯片主要以TTL集成电路为主。 . .

数字逻辑实验、知识点总结(精编文档).doc

【最新整理,下载后即可编辑】 数字逻辑实验报告、总结 专业班级:计算机科学与技术3班 学号:41112115 姓名:华葱 一、 实验目的 1. 熟悉电子集成实验箱的基本结构和基本操作 2. 通过实验进一步熟悉各种常用SSI 块和MSI 块的结构、 各管脚功能、工作原理连接方法 3. 通过实验进一步理解MSI 块的各输入使能、输出使能的 作用(存在的必要性) 4. 通过实验明确数字逻辑这门课程在计算机专业众多课 程中所处的位置,进一步明确学习计算机软硬件学习的 主线思路以及它们之间的关系学会正确学习硬件知识 的方法。 二、 实验器材 1. 集成电路实验箱 2. 导线若干 3. 14插脚、16插脚拓展板 4. 各种必要的SSI 块和MSI 块 三、 各次实验过程、内容简述 (一) 第一次实验:利用SSI 块中的门电路设计一个二进制一 位半加器 1. 实验原理:根据两个一位二进制数x 、y 相加的和与 进位的真值表,可得:和sum=x 异或y ,进位C out =x ×y 。相应电路: 2. 实验内容: a) 按电路图连接事物,检查连接无误后开启电源 b) 进行测试,令

y>={<0,0>,<0,1>,<1,0>,<1,1>},看输出位sum 和C out 的变化情况。 c) 如果输出位的变化情况与真值表所述的真值相 应,则达到实验目的。 (二) 第二次实验:全加器、74LS138译码器、74LS148编码器、 74LS85比较器的测试、使用,思考各个输入、输出使能 端的作用 1. 实验原理: a) 全加器 i. 实验原理: 在半加器的基础上除了要考虑当前两个二进制为相 加结果,还要考虑低位(前一位)对这一位的进位 问题。由于进位与当前位的运算关系仍然是和的关 系,所以新引入的低位进位端C in 应当与当前和sum 再取异或,而得到真正的和Sum ;而进位位C out 的 产生有三种情况:={<1,1,0>,<1,0,1>,<0,1,1>},也就是说当x 、y 、 C in 中当且仅当其中的两个数为1,另一个数为0的 时候C out =1,因此:C out =xy+xC in +yC in 得电路图(也 可以列出关于C in 的真值表,利用卡诺图求解C in 的 函数表达式): ii. >的8中 指,y ,C in x y C in Sum C out

数字逻辑实验指导书

《数字逻辑实验指导书》 实验一组合逻辑电路分析与设计 一、实验目的: 1、掌握PLD实验箱的结构和使用; 2、学习QuartusⅡ软件的基本操作; 3、掌握数字电路逻辑功能测试方法; 4、掌握实验的基本过程和实验报告的编写。 二、原理说明: 组合电路的特点是任何时刻的输出信号仅取决于该时刻的输入信号,而与信号作用前电路的状态无关。 (一)组合电路的分析步骤: (二)组合逻辑电路的设计步骤 首先根据给定的实际问题进行逻辑抽象,确定输入、输出变量,并进行状态赋值,再根据给定的因果关系,列出逻辑真值表。然后用公式法或卡诺图法化简逻辑函数式,以得到最简表达式。最后根据给定的器件画出逻辑图。 三、实验内容 (一)组合逻辑电路分析: 1.写出函数式,画出真值表; 2.在QuartusⅡ环境下用原理图输入方式画出原理图,并完成波形仿真; 3.将电路设计下载到实验箱并进行功能验证,说明其逻辑功能。(必做)

(二)组合逻辑电路设计 1.设计一个路灯的控制电路,要求在四个不同的路口都能独立地控制路灯的亮灭。(用异或门实现) 画出真值表,写出函数式,画出实验逻辑电路图。在QuartusⅡ环境下实现设计,完成对波形的仿真,并将设计下载到实验箱并进行功能验证。(必做) 要求:用四个按键开关作为四个输入变量;用一个LED彩灯(发光二极管)来显示输出的状态,“灯亮”表示输出为“高电平”,“灯灭”表示输出为“低电平”。 2.设计一个保密锁电路,保密锁上有三个键钮A、B、C。要求当三个键钮同时按下时,或A、B两 个同时按下时,或按下A、B中的任一键钮时,锁就能被打开;而当不符合上列组合状态时,将使电铃发出报警响声。试设计此电路,列出真值表,写出函数式,画出最简的实验电路。(用最少的与非门实现)。在QuartusⅡ环境下实现设计,完成对波形的仿真,并将设计下载到实验箱并进行功能验证。(选做) (注:取A、B、C三个键钮状态为输入变量,开锁信号和报警信号为输出变量,分别用F1用F2表示。设键钮按下时为“1”,不按时为“0”;报警时为“1”,不报警时为“0”,A、B、C都不按时,应不开锁也不报警。) 三、予习要求: 1.复习组合电路的分析方法和设计方法。 2.预习利用QuartusⅡ和可编程器件(PLD)进行数字电路设计的基本设计方法。 3.画出实验用电路图和记录表格,填好理论值,注明管脚号码。 四、报告要求: 1.实验目的和要求 2.实验主要仪器和设备 3.实验原理 4.实验方案设计、实验方法 5.实验步骤

数字逻辑实验指导

《数字逻辑》实验指导 福建工程学院

电子技术实验室实验守则 一、实验课前:每个学生必须认真预习实验指导书和与本实验有关的教材内容,写出实验预习报告。明确实验目的和实验原理,了解实验内容与步骤,掌握仪器、仪表的使用方法,作好实验准备工作。 二、上实验课:学生必须认真听讲,接好线路后,需经指导教师复查批准,才准接通电源。 三、实验时,每个学生都应严肃认真,勤于动手、独立思考、细心操作,注意观察、如实作好记录。教师根据每个学生的实验技能,动手能力评定平时成绩。 四、实验过程中,如发现仪器设备有冒烟、焦味、异响、漏电等异常现象,应立即切断电源,保持现场,请指导教师检查处理。 五、实验完成后,需请指导教师检查预习报告和实验数据以及所使用的仪器设备,经教师检查签字后方可离开实验室。 六、学生因请假而需要补做实验者,应本人申请,经指导教师同意,并安排好时间补做。 七、每个学生必须爱护实验室的仪器设备,使用前,若发现故障及时请指导教师检查。与本实验无关的仪器设备不准动用,凡不听教师讲解,进行错误操作以致损坏设备者,按赔偿条例酌情处理。 八、实验室是教学场所,应保持整洁,安静,不得喧哗打闹,不准吸烟,不准随地吐痰,不准乱抛纸屑,不准在实验室内吃东西,不准在仪器设备上或桌面上涂写,穿拖鞋者一律不准进入实验室。 九、对违反上述规则又不听劝阻者,教师有权令其退出实验室

实验一门电路参数测试 一、实验目的 1. 了解 TTL 与非门电路的主要参数。 2. 掌握 TTL 与非门电路的主要参数和传输特性的测试方。 3. 熟悉 TTL 门电路的逻辑功能的测试方法。 二、实验器材 1、数字逻辑实验箱 2、万用表 3、74LS00芯片 三、实验原理 本实验采用四二输入“与 非门”74LS00,其引脚排列如 右图所示,它共有四组独立的 “与非”门,每组有两个输入 端,一个输出端。四与非门 74LS00 的主要参数有: 1.扇出系数NO:电路正常工作时能带动的同类门的数目称为扇出系数NO 。 2.输出高电平VOH:一般VOH≥2.4V. 3.输出低电平VOL:一般VOL≤0.4V. 4.高电平输入电流IIH:指当一个输入端接高电平,而其它输入端接地时从电源流过高电平输入端的电流。 5.低电平输入电流IIL(或输入短路电流IRD):指当一个输入端接地,而其它输入端悬空时低电平输入端流向地的电流。 6.电压传输特性曲线和关门电平VOFF: 下图所表示的V ~VO关系 曲线称为电压传输特性曲线。使 输出电压刚刚达到低电平时的最 低输入电压称为开门电平VON 。 使输出电压刚刚达到规定高电平 时的最高输入电压称为关门电平 VOFF。 7.噪声容限:电路能够保持 正确的逻辑关系所允许的最大抗 干扰电压值,称为噪声电压容限。 输入低电平时的噪声容限为VOFF- VIL ,输入高电平时的噪声容

数字电路实验大纲

数字电路实验课程教学大纲 一、课程的基本信息 适应对象:电子科学与技术电子信息工程通信工程 课程代码:AAD00813 学时分配:16 赋予学分:1 先修课程:电路分析低频电子线路 后续课程:信号系统单片机原理与接口技术 二、课程性质与任务 数字电路实验为专业基础实验,面向电子信息工程、电子科学与技术、通信工程专业开设的独立设置的实验课程及课内实验。通过本课程的学习使学生进一步掌握常用仪器的使用,并掌握数字电路基本知识、常用芯片的功能及参数以及中、大规模器件的应用,掌握组合逻辑电路和时序逻辑电路的设计方法。同时通过学习,可以培养学生独立思考、独立解决问题的能力,加强动手能力的培养,使学生掌握数字电路的设计方法。 三、教学目的与要求 本课程是一门集理论与实践与一体的课程。学生通过本课程的学习,能够掌握各种基本逻辑门电路的结构和功能;掌握各种组合逻辑电路的分析和设计方法;熟悉常用的触发器,并会对常用的时序电路进行分析;对较复杂的数字系统的分析方法能有所了解;掌握各种电子电路和系统的测试方法和技能。 四、教学内容与安排 实验项目设置与内容提要

虚拟实验项目设置与内容提要 五、教学设备和设施 DZX-1 电子学综合实验装置示波器数字电路虚拟实验系统 六、课程考核与评估 实验成绩由虚拟实验成绩、平时实验成绩和考核成绩组成,虚拟实验成绩占20%,平时实验成绩占50%,考核成绩占30%。平时实验成绩由实验操作成绩和实验报告成绩组成,实验操作成绩占平时实验成绩的70%;实验报告成绩占平时实验成绩的30%。实验操作主要考察学生对实验电路的设计难易程度、电路连接调试、问题解决的能力,是否能够达到设计要求;实验报告主要考察学生对实验涉及的理论知识的掌握,对实验得到的结论和现象是否能够正确理解和分析,并能够合理的解释实验中出现的问题,正确判断实验的成功、失败。

数字逻辑实验指导书

数字电路是一门对实践性要求很强的专业课程,数字电路实验是一门验证 理论、巩固所学知识、根据所学知识进行简单应用的课程。实验操作有助于对 课程理论的掌握和理解,要求学生完成本课程后,能基本上验证基本数字逻辑 电路及器件的功能,能够独立的分析和设计基本的电路。为了实现这一目的, 要求在课程学习期间完成6-8个实验,实验应与课堂教学同步完成,具体内容 和要求见正文。 为了突出软件学院的特点,我院学生实验以虚拟实验为主,实施电路实验 采用实验室开放验证的方式。使用的虚拟实验软件是海军航空工程学院青岛分 院开发的《电工电子网上虚拟实验室》。 在整编本讲义过程中,得到了杨发宝、杨建庭等老师的多处指正,但是由 于时间仓促的原因,本实验讲义还是较为粗糙,在科学性、内容、文字等方面 还有诸多不够完善之处,请读者在使用过程中指出,以便在下次印刷时更正。 参考资料: 《数字电子技术基础(第四版)》高等教育出版社阎石 《数字逻辑 PPT课件》西安交通大学毛文林 《电工电子网上虚拟实验室》海军航空工程学院青岛学院 冷洪勇 2006.3.28

实验一基本逻辑门电路的逻辑功能测试------------------------------3 实验二组合逻辑电路的分析与设计----------------------------------6 实验三集成触发器------------------------------------------------9 实验四计数译码显示电路------------------------------------------13 实验五数据选择器------------------------------------------------18 实验六自激多谐振荡器--------------------------------------------20 实验七单稳与史密特触发器----------------------------------------23 实验八数/模模/数转换------------------------------------------29 实验九 555型集成时基电路----------------------------------------33 附录一数字电路仿真实验环境的操作指南----------------------------38 附录二实验使用相关芯片管脚定义图及功能真值表--------------------41

相关文档
相关文档 最新文档