文档库 最新最全的文档下载
当前位置:文档库 › 西北工业大学数电实验报告三quartus

西北工业大学数电实验报告三quartus

西北工业大学数电实验报告三quartus
西北工业大学数电实验报告三quartus

实验三: 基于Quartus II的硬件描述语

言电路设计

班级:

姓名:

学号:

同组人员:

目录

一、实验要求 (3)

二、实验内容 (4)

要求1:用VHDL语言实现异或门 (4)

a)用VHDL语言编写代码: (4)

b)仿真: (4)

c)下载到DEO板子上验证 (5)

a)用VHDL语言编写实验代码: (5)

b)仿真 (6)

c)下载到DE0板子上验证 (6)

要求:3:四位二进制数加减计数器 (7)

a)用VHDL语言编写的程序代码如下: (7)

b)仿真结果如下: (7)

要求4:占空比50%的5M 和50M分频器。 (8)

a)用VHDL语言编写的程序代码如下: (8)

b)电路框图如下: (8)

c)下载到DE0板子上验证 (8)

拓展部分:原理图法实现0-F自动循环显示 (9)

a)分别生成要求2,3,4所做程序对应的原理图如下: (9)

b)将要求2,3,4的VHDL文件插入拓展工程文件里并绘制原理图 (10)

c)下载到DE0板子上验证, (10)

一、实验要求

要求1:学习并掌握硬件描述语言(VHDL 或Verilog HDL);熟悉门电路的逻辑功能,并用硬件描述语言实现门电路的设计。参考“参考内容1”中给出的与门源程序,编写一个异或门逻辑电路。1)用QuartusII 波形仿真验证;2)下载到DE0 开发板验证。

要求2:熟悉中规模器件译码器的逻辑功能,用硬件描述语言实现其设计。参考“参考内容2”中给出的将8421BCD 码转换成0-9 的七段码译码器源程序,编写一个将二进制码转换成0-F 的七段码译码器。1)用QuartusII 波形仿真验证;2)下载到DE0 开发板,利用开发板上的数码管验证。

要求3:熟悉时序电路计数器的逻辑功能,用硬件描述语言实现其设计。参考“参考内容3”中给出的四位二进制加减计数器的源程序,编写一个计数器。1)用QuartusII 波形仿真验证;2)下载到DE0 开发板验证。

要求4:熟悉分频电路的逻辑功能,并用硬件描述语言实现其设计。参考“参考内容4”中给出的50M 分频器的源程序,编写一个能实现占空比50%的5M 和50M分频器即两个输出,输出信号频率分别为10Hz 和1Hz。1)下载到DE0 开发板验证。(提示:利用DE0 板上已有的50M 晶振作为输入信号,通过开发板上两个的LED 灯观

察输出信号)。电路框图如下:

扩展内容:利用已经实现的VHDL 模块文件,采用原理图方法,实现0-F 计数自动循环显示,频率10Hz。(提示:如何将VHDL 模块文件在逻辑原理图中应用,参考参考内容5)

注:每组的实验结果必须接受实验老师登记验收,回答实验老师根据所涉及电路的提问。

二、实验内容

要求1:用VHDL语言实现异或门

a)用VHDL语言编写代码:

b)仿真:

仿真结果如下:

c)下载到DEO板子上验证

下载到DE0开发板上验证,可以实现异或门功能。引脚设置如下:

要求2:二进制码转换成0-F 的七段码译码器

a)用VHDL语言编写实验代码:

b)仿真

仿真结果如下:

c)下载到DE0板子上验证

引脚设置如下,可以实现七段码管显示二进制数功能。

要求:3:四位二进制数加减计数器

a)用VHDL语言编写的程序代码如下:

b)仿真结果如下:

(注:当qw高电平时加法计数,qw为低电平时减法计数)

要求4:占空比50%的5M 和50M分频器。

a)用VHDL语言编写的程序代码如下:

b)电路框图如下:

c)下载到DE0板子上验证

引脚设置如下。当AS为高电平时LED灯1HZ闪烁,当AS为低电

平时LED灯10HZ闪烁。

拓展部分:原理图法实现0-F自动循环显示(频率可在1H与10HZ之间切换,加减可切换。) a)分别生成要求2,3,4所做程序对应的原理图如下:要求2:

要求3:

要求4:

b)将要求2,3,4的VHDL文件插入拓展工程文件里并绘制原理图如下:

c)下载到DE0板子上验证,

引脚设置如下。

当qw高电平时加法计数即0-F,qw为低电平时减法计数即F-0;当AS为高电平时频率为1HZ,当AS为低电平时频率为10HZ。

西北工业大学数电实验报告一Quartus和 Multisim

数字电子技术基础 实验报告 题目:实验一TTL集成门电路逻辑变换 小组成员: 小组成员:

实验一TTL集成门电路逻辑变换 一、实验目的 通过完成所要求的实验内容,来熟练掌握运用TTL集成门电路逻辑变换的基本原理,充分了解 Multisim 软件的仿真技术和QuartusII 软件的绘制原理图、编译程序、波形仿真等功能及将程序写入开发板的全体流程步骤,深入学习数字电路在实践运用中所面临的场景,进而为后续对数字电路更深层次的使用及实验打下良好铺垫。 二、实验要求 要求一:测试与非门逻辑功能。用MULTISIM软件仿真后,再用 FPGA实现电路测试逻辑功能 要求二:用与非门实现“与”逻辑。用 MULTISIM软件仿真后,再用 FPGA实现电路测试逻辑功能 要求三:用与非门实现“或”逻辑。用 MULTISIM软件仿真后,再用 FPGA实现电路测试逻辑功能 要求四:用与非门实现“异或”逻辑。用 MULTISIM软件仿真后,再用 FPGA实现电路测试逻辑功能 要求五:用门电路设计实现一位全加器。用MULTISIM软件仿真后,再用 FPGA 实现电路测试逻辑功能 三、实验设备 (1)电脑一台; (2)数字电路实验箱; (3)数据线一根。

四、实验原理 Multisim 的模拟电路编程原理 Quartus II的模拟电路编译、波形仿真及目标器件写入的基本应用数字电路逻辑表达式转换的基本知识 五、实验内容 1、(要求一) (1)逻辑表达式变换过程 (2)原理图(Multisim和QuartusII中绘制的原理图): (3)波形仿真: (4)记录电路输出结果 2、(要求二) (1)逻辑表达式变换过程 (2)原理图(Multisim和QuartusII中绘制的原理图): (3)波形仿真:

西工大信号与系统-实验1

西北工业大学 《信号与系统》实验报告 西北工业大学

a. 上图分别是0N或者M

b. 以上是代码,下图是运行结果

由上图可看出,图上一共有3个唯一的信号。当k=1和k=6的时候的图像是一样的。因为档k= 1时,wk=(2*PI)/5,k=6时,wk=2PI+(2*PI)/5,即w6 = 2PI+w1,因为sin函数的周期是2PI,所以他俩的图像是一样的 c.代码如下:

图像如下: 可得出结论:如果2*pi/w0不是有理数,则该信号不是周期的 1.3离散时间信号时间变量的变换 a. nx=[zeros(1,3) 2 0 1 -1 3 zeros(1,3)];图像如下: b. 代码如下: x=zeros(1,11);

x(4)=2; x(6)=1; x(7)=-1; x(8)=3; n=-3:7; n1=n-2; n2=n+1; n3=-n; n4=-n+1; y1=x; y2=x; y3=x; y4=x; c: 代码和结果如下结果 下图是结果图

东南大学高等数学数学实验报告上

Image Image 高等数学数学实验报告 实验人员:院(系) ___________学号_________姓名____________实验地点:计算机中心机房 实验一 1、 实验题目: 根据上面的题目,通过作图,观察重要极限:lim(1+1/n)n =e 2、 实验目的和意义 方法的理论意义和实用价值。 利用数形结合的方法观察数列的极限,可以从点图上看出数列的收敛性,以及近似地观察出数列的收敛值;通过编程可以输出数列的任意多项值,以此来得到数列的收敛性。通过此实验对数列极限概念的理解形象化、具体化。 三、计算公式 (1+1/n)n 四、程序设计 五、程序运行结果 六、结果的讨论和分析 当n足够

Image Image 大时,所画出的点逐渐接近于直线,即点数越大,精确度越高。对于不同解题方法最后均能获得相同结果,因此需要择优,从众多方法中尽可能选择简单的一种。程序编写需要有扎实的理论基础,因此在上机调试前要仔细审查细节,对程序进行尽可能的简化、改进与完善。 实验二一、实验题目 制作函数y=sin cx的图形动画,并观察参数c对函数图形的影响。 二、实验目的和意义 本实验的目的是让同学熟悉数学软件Mathematica所具有的良好的作图功能,并通过函数图形来认识函数,运用函数的图形来观察和分析函数的有关性态,建立数形结合的思想。三、计算公式:y=sin cx 四、程序设计五、程序运行结果 六、结果的讨论和分析 c的不同导致函数的区间大小不同。 实验三 一、实验题目 观察函数f(x)=cos x的各阶泰勒展开式的图形。 二、实验目的和意义 利用Mathematica计算函数的各阶泰勒多项式,并通过绘制曲线图形,来进一步掌握泰勒展开与函数逼近的思想。 三、计算公式

东南大学高数a下实验报告

高数实验报告 学号: 姓名: 数学实验一 一、实验题目:(实验习题7-3) 观察二次曲面族kxy y x z ++=22的图形。特别注意确定k 的这样一些值,当k 经过这些值时,曲面从一种类型变成了另一种类型。 二、实验目的和意义 1. 学会利用Mathematica 软件绘制三维图形来观察空间曲线和空间曲线图形的特点。 2. 学会通过表达式辨别不同类型的曲线。 三、程序设计 这里为了更好地分辨出曲线的类型,我们采用题目中曲线的参数方程来画图,即t t kr r z sin cos 22+= 输入代码: ParametricPlot3D [{r*Cos[t],r*Sin[t],r^2+ k*r^2*Cos[t]*Sin[t]}, {t, 0, 2*Pi}, {r, 0, 1},PlotPoints -> 30] 式中k 选择不同的值:-4到4的整数带入。 四、程序运行结果

k=4: k=3: k=2:

k=1: k=0:

k=-1: k=-2:

k=-3: k=-4: 五、结果的讨论和分析 k取不同值,得到不同的图形。我们发现,当|k|<2时,曲面为椭圆抛物面;当|k|=2时,曲面为抛物柱面;当|k|>2时,曲面为双曲抛物面。

数学实验二 一、实验题目 一种合金在某种添加剂的不同浓度下进行实验,得到如下数据: 2 + y+ = cx a bx 法确定系数a,b,c,并求出拟合曲线 二、实验目的和意义 1.练习使用mathematic进行最小二乘法的计算 2.使用计算机模拟,进行函数的逼近 三、程序设计 x={,,,,}; y={,,,,}; xy=Table[{x[[i]],y[[i]]},{i,1,5}]; q[a_,b_,c_]:=Sum[(a+b*x[[i]]+c*x[[i]]*x[[i]]-y[[i]])^2,{i,1 ,5}]; Solve[{D[q[a,b,c],a]?0,D[q[a,b,c],b]?0,D[q[a,b,c],c]?0},{a, b,c}] A={a,b,c}/.%; a=A[[1,1]]; b=A[[1,2]];

西工大数字集成电路实验报告_实验2反相器代码

1. 2. 计算出这个电路的V OH V OL 及V IH V IL 。(计算可先排除速度饱和的 可能) V in =0时,V OH = V in=时,假设NMOS 工作在临界饱和区: A I V R I v V V V A I V V L W K I D out L D T in out D T in D 61142`1073.55.207.243.05.21039.7)(2/--?=?+=?????=-=-=?=?-?=这样的话根据 D D I I <1,器件实际工作在线性区 ?????????=+=--=v V V R I V V V V L W K I in OL L D OL OL T in D 5.25.2]2)[(2` 6`10115-?=K 将, 5.0/5.1=L W ,43.0=T V 代入kohm R L 75= 解得: =OL V 由图得:V OH =, V OL =. 当out in V V =时,NMOS 工作在饱和区 ?????+=-?=out L D T in D V R I V V L W K I 5.2)(2/2`

反相器阈值电压===out in M V V V 此时 -6.8978)43.0(875.255.2,)43.0(9375.125.22=--== --=in Vin Vout in out V d d g V V ???????=--==+=0.5458||0.9082||g V V V V g V V V M OH M IL M M IH 由图得:V IH =, V IL =. SP 文件: .TITLE CMOS INVERTER .options probe .options tnom=25 .options ingold=2 limpts=30000 method=gear .options lvltim=2 imax=20 gmindc= .protect .lib'C:\synopsys\' TT .unprotect .global vdd Mn out in 0 0 NMOS W= L= *(工艺中要求尺寸最大) RL OUT VDD 75k VDD VDD 0 VIN IN 0 0

东南大学数字电路实验报告

东南大学电工电子实验中心实验报告 数字逻辑设计实践 实验一数字逻辑电路实验基础 学院电气工程学院 指导老师团雷鸣 地点 104 姓名 学号 __________得分实验日期

1.实验目的 (1)认识数字集成电路,能识别各种类型的数字器件和封装; (2)学习查找器件资料,通过器件手册了解器件; (3)了解脉冲信号的模拟特性,了解示波器的各种参数及其对测量的影响,了解示波器探头的原理和参数,掌握脉冲信号的各项参数; (4)了解逻辑分析的基本原理,掌握虚拟逻辑分析的使用方法; (5)掌握实验箱的结构、功能,面包板的基本结构、掌握面包板连接电路的基本方法和要求; (6)掌握基本的数字电路的故障检查和排除方法。 2.必做实验 (1)复习仪器的使用,TTL信号参数及其测量方法 用示波器测量并记录频率为200KHz的TTL信号的上升沿时间、下降沿时间、脉冲宽度和高、低电平值。 接线图 理论仿真TTL图像 TTL实验数据表格

(2)节实验:电路安装调试与故障排除 要求:测出电路对应的真值表,并进行模拟故障排查,记录故障设置情况和排查过程。 接线图 真值表 F=1,G=1 序号S1B1S2B2L 100000110100001020110 103 110040 150110 006101107111001800 001190 思考题 ①能否用表格表示U8脚输出端可能出现1的全部情况 2

②存在一个使报警器信号灯持续接通的故障,它与输入的状态无关。那么,什么是最有可能的故障? 答:两个集成电路74HC00与74HC20未加工作电压VCC并接地,造成集成电路无法工作,L一直为低电平,Led发光。 ③下列故障的现象是什么样的? a.U8脚输出端的连线开路。1答:无论S2与B2输入什么信号,都视为U4 与U5输入0信号(副驾驶有人22且安全带未扣上),会造成报警。 b.U3脚的输出停留在逻辑0。1答:无论B1输入什么信号,都视为U13输 入0信号。(驾驶座安全带扣上)1 ④当汽车开始发动,乘客已坐好,而且他的座位安全带已扣上,报警灯亮,这结果仅与司机有关,列出可能的故障,并写出寻找故障的测试顺序。 可能情况:司机未系安全带

西工大-数电实验-第二次实验-实验报告

数电实验2 一.实验目的 1.学习并掌握硬件描述语言(VHDL 或 Verilog HDL);熟悉门电路的逻辑功能,并用硬件描述语言实现门电路的设计。 2.熟悉中规模器件译码器的逻辑功能,用硬件描述语言实现其设计。 3.熟悉时序电路计数器的逻辑功能,用硬件描述语言实现其设计。 4.熟悉分频电路的逻辑功能,并用硬件描述语言实现其设计。 二.实验设备 1.Quartus开发环境 2.ED0开发板 三.实验内容 要求1:编写一个异或门逻辑电路,编译程序如下。 1)用 QuartusII 波形仿真验证; 2)下载到DE0 开发板验证。 要求2:编写一个将二进制码转换成 0-F 的七段码译码器。 1)用 QuartusII 波形仿真验证; 2)下载到 DE0 开发板,利用开发板上的数码管验证。 要求3:编写一个计数器。 1)用QuartusII 波形仿真验证; 2)下载到 DE0 开发板验证。 要求4:编写一个能实现占空比 50%的 5M 和50M 分频器即两个输出,输出信号频率分别为 10Hz 和 1Hz。 1)下载到 DE0 开发板验证。(提示:利用 DE0 板上已有的 50M 晶振作为输入信号,通过开发板上两个的 LED 灯观察输出信号)。 2)电路框图如下: 扩展内容:利用已经实现的 VHDL 模块文件,采用原理图方法,实现 0-F 计数自动循环显示,频率 10Hz。(提示:如何将 VHDL 模块文件在逻辑原理图中应用,参考参考内容 5) 四.实验原理 1.实验1实现异或门逻辑电路,VHDL源代码如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;

信号与系统答案 西北工业大学 段哲民 信号与系统1-3章答案

第一章 习 题 1-1 画出下列各信号的波形:(1) f 1(t)=(2-e -t )U(t); (2) f 2(t)=e -t cos10πt×[U(t -1)-U(t-2)]。 答案 (1))(1t f 的波形如图1.1(a )所示. (2) 因t π10cos 的周期 s T 2.0102== ππ ,故)(2t f 的波形如图题1.1(b)所示. 1-2 已知各信号的波形如图题1-2所示,试写出它们各自的函数式。 答案 )1()]1()([)(1-+--=t u t u t u t t f )]1()()[1()(2----=t u t u t t f )]3()2()[2()(3----=t u t u t t f 1-3 写出图题1-3所示各信号的函数表达式。

答案 2 002121 )2(21121)2(21 )(1≤≤≤≤-?????+-=+-+=+=t t t t t t t f )2()1()()(2--+=t u t u t u t f )] 2()2([2sin )(3--+-=t u t u t t f π )3(2)2(4)1(3)1(2)2()(4-+---++-+=t u t u t u t u t u t f 1-4 画出下列各信号的波形:(1) f 1(t)=U(t 2-1); (2) f 2(t)=(t-1)U(t 2-1); (3) f 3(t)=U(t 2-5t+6); (4)f 4(t)=U(sinπt)。 答案 (1) )1()1()(1--+-=t u t u t f ,其波形如图题1.4(a)所示.

过程控制实验报告

东南大学自动化学院 实验报告 课程名称:过程控制实验 实验名称:水箱液位控制系统 院(系):自动化专业:自动化姓名:学号: 实验室:实验组别: 同组人员: 实验时间: 评定成绩:审阅教师:

目录 一、系统概论 (3) 二、对象的认识 (4) 三、执行机构 (14) 四、单回路调节系统 (15) 五、串级调节系统Ⅰ (18) 六、串级调节系统Ⅱ (19) 七、前馈控制 (21) 八、软件平台的开发 (21)

一、系统概论 1.1实验设备 图1.1 实验设备正面图图1.2 实验设备背面图 本实验设备包含水箱、加热器、变频器、泵、电动阀、电磁阀、进水阀、出水阀、增压器、流量计、压力传感器、温度传感器、操作面板等。 1.1.2 铭牌 ·加热控制器: 功率1500w,电源220V(单相输入) ·泵: Q40-150L/min,H2.5-7m,Hmax2.5m,380V,VL450V, IP44,50Hz,2550rpm,1.1kw,HP1.5,In2.8A,ICL B ·全自动微型家用增压器: 型号15WZ-10,单相电容运转马达 最高扬程10m,最大流量20L/min,级数2,转速2800rmp,电压220V, 电流0.36A,频率50Hz,电容3.5μF,功率80w,绝缘等级 E ·LWY-C型涡轮流量计: 口径4-200mm,介质温度-20—+100℃,环境温度-20—+45℃,供电电源+24V, 标准信号输出4-20mA,负载0-750Ω,精确度±0.5%Fs ±1.0%Fs,外壳防护等级 IP65 ·压力传感器 YMC303P-1-A-3 RANGE 0-6kPa,OUT 4-20mADC,SUPPLY 24VDC,IP67,RED SUP+,BLUE OUT+/V- ·SBWZ温度传感器 PT100 量程0-100℃,精度0.5%Fs,输出4-20mADC,电源24VDC

东南大学数学实验报告(1)

高等数学数学实验报告实验人员:院(系) 土木工程学院学号05A11210 姓名李贺__ 实验地点:计算机中心机房 实验一空间曲线与曲面的绘制 一、实验题目:(实验习题1-2) 利用参数方程作图,做出由下列曲面所围成的立体图形: 2 2 2 2 ⑴ Z 1 X y,x y X 及xOy平面; ⑵ z xy,x y 1 0 及z 0. 二、实验目的和意义 1、利用数学软件Mathematica绘制三维图形来观察空间曲线和空间曲面图形的特点,以加 强几何的直观性。 2、学会用Mathematica绘制空间立体图形。 三、程序设计 空间曲面的绘制 x x(u, V) y y(u,v),u [u min , max ],V [V min , V max ] 作参数方程z z(u,v)所确定的曲面图形的Mathematica命令

为: ParametricPlot3D[{x[u,v],y[u,v],z[u,v]},{u,umi n,umax}. {v,vmi n,vmax}, 选项] ⑵ t2 = ParametricPlotJD [{u f 1 v}, [u^ ?0?§尸1}^ (v, 0F 1}, HxegLabel {"x" 11 y" J1 z" }. PlotPolnts t 5B, Dlspla^unction -> Identity」: t3 = ParametricPlotSD[{u f 0}* (u, -U.J5』1}^ {v z-0.5, 1} f AxesLabel {"x" 11y" 11 z" PlotPoints 50, Display1 unction — Identity]: Slinw[tl z t2, t3 f DisplayFunction -> SDlsplajfunction] 四、程序运行结果 ⑴ (2) 五、结果的讨论和分析 1、通过参数方程的方法做出的图形,可以比较完整的显示出空间中的曲面和立体图形。 2、可以通过mathematica软件作出多重积分的积分区域,使积分能够较直观的被观察。

西工大2017年数字集成电路设计实验课实验一

实验四 译码器的设计及延迟估算 1、 设计译码器并估算延迟 设计一个用于16bit 寄存器堆的译码器,每一个寄存器有32bit 的宽度,每个bit 的寄存器单元形成的负载可以等效为3个单位化的晶体管(后面提到负载都为单位化后的负载)。 译码器的结构可参考典型的4-16译码器 译码器和寄存器堆的连接情况(Output 输出为1的一行寄存器被选中) ① 假定4个寄存器地址位的正反8个输入信号,每个信号的输入负载可以等效为10。确定 译码器的级数,并计算相关逻辑努力,以此来确定每一级中晶体管的尺寸(相当于多少个单位化的晶体管)及整个译码电路的延迟(以单位反相器的延迟的本征延迟Tp0为单位)。 解: 96332,10int =?==ext g C C C ,9.696/10F ==? 假定每一级的逻辑努力:G=1,又因为分支努力(每个信号连接8个与非门): 81*8*1B ==, 路径努力8.7686.91=??==GFB H 所以,使用最优锥形系数就可得到最佳的电路级数39.36.3ln 8.76ln 6.3ln ln ===H N ,故N 取3级。 因为逻辑努力:2121G =??=,路径努力:6.15386.92=??==GFB H 则使得路径延时最小的门努力 36.5)6.153(3/1===N H h 。 所以: . 36.5136.5,68.2236.5, 36.5136.5132211=========g h f g h f g h f

故第一级晶体管尺寸为7.68 1036.5=?; 第二级尺寸为956.1768.27.6=?; 第三级尺寸为96244.9636.5956.17≈=?。 故延迟为:0008.22)36.5136.5436.51(p p p t t t =+++++= ② 如果在四个寄存器地址输入的时候,只有正信号,反信号必须从正信号来获得。每个正信号的输入的等效负载为20,使用与①中同样的译码结构,在这种条件下确定晶体管的大小并评估延迟(以单位反相器的延迟的本征延迟Tp0为单位)。 解:因为输入时通过两级反相器,使这两个反相器分摊原来单个反相器的等效扇出,将两级反相器等效为一级,故其逻辑努力32.236.5h ==, 故36.5,68.2,32.2,32.24321====f f f f 所以: 第一级尺寸为:()9.2832.210=?; 第二级尺寸为:728.632.29.2=?; 第三级尺寸为:03.1868.2728.6=?; 第四级尺寸为:65.9636.503.18=? 正信号通路的延迟为:()0036.2236.5136.5436.5132.2132.2p p p t t t =++++++++= 反信号通路情况与上问相同,延迟为0008.22)36.5136.5436.51(p p p t t t =+++++= 2、 根据单位反相器(NMOS:W=0.5u L=0.5u PMOS:W=1.8u L=0.5u),设计出实 际电路,并仿真1题中第一问的路径延迟。 设计出实际电路如下:

数模实验报告

数学建模与实验实验报告 姓名:李明波 院系:仪器科学与工程学院 学号:22013108 老师:王峰

数学建模与实验实验报告 实验一 实验题目 (1)已知某平原地区的一条公路经过如下坐标所示的点,请采用样条插值绘出这条公路(不考虑 (2)对于上表给出的数据,估计公路长度。 实验过程 (1)第一问代码如下: X=[0,30,50,70,80,90,120,148,170,180,202,212,230,248,268,271,280,290,300,312,320,340,3 60,372,382,390,416,430,478]; Y=[80,64,47,42,48,66,80,120,121,138,160,182,200,208,212,210,200,196,188,186,200,184,1 88,200,202,240,246,280,296]; %给出坐标点 xx=0:1:478;%选取0~478内的点 yy=spline(X,Y,xx);%样条插值法找出曲线 plot(X,Y, 'p ',xx,yy, 'g ');%绘出曲线图 x=[440,420,380,360,340,320,314,280,240,200]; y=[308,334,328,334,346,356,360,392,390,400]; hold on xy=440:-1:200; yx=spline(x,y,xy); plot(x,y, 'p ',xy,yx, 'g '); 运行上述代码得到结果如下:

上图为所绘公路图 (2)代码如下: X=[0 30 50 70 80 90 120 148 170 180 202 212 230 248 268 271 280 290 300 312 320 340 360 372 382 390 416 430 478 440 420 380 360 340 320 314 280 240 200]; Y=[80 64 47 42 48 66 80 120 121 138 160 182 200 208 212 210 200 196 188 186 200 184 188 200 202 240 246 280 296 308 334 328 334 346 356 360 392 390 400]; for k=1:length(X)-1 len(k)=sqrt((X(k+1)-X(k))^2+(Y(k+1)-Y(k))^2); end; Len=sum(len);Len 运行得到结果如下: 即公路长为967.46米。

高等数学(下册)数学实验报告

高等数学A(下册)实验报告 院(系): 学号:姓名: 实验一 利用参数方程作图,作出由下列曲面所围成的立体: (1) 2 2 1Y X Z- - = , X Y X= +2 2 及 xOy 面 ·程序设计: -1, 1},Axe s2=ParametricPlot3D[{1/2*Cos[u]+1/2,1/2*Sin[u],v},{u,- s3=ParametricPlot3D[{u,v,0},{u,-1,1},{v,- DisplayFunction 程序运行结果: 实验二 实验名称:无穷级数与函数逼近 实验目的:观察的部分和序列的变化趋势,并求和

实验内容: (1)利用级数观察图形的敛散性 当n 从1~400时,输入语句如下: 运行后见下图,可以看出级数收敛,级数和大约为1.87985 (2先输入: 输出: 输出和输入相同,此时应该用近似值法。输入: 输出: 1.87985 结论:级数大约收敛于1.87985 实验三: 1. 改变例2中m 的值及的数值来求函数的幂级数及观察其幂级数逼近函数的情况

·程序设计: m 5; f x_:1 x^m;x0 1; g n_,x0_ :D f x, x, n .x x0; s n_,x_: Sum g k,x0/k x x0 ^k, k, 0, t Table s n, x, n, 20; p1 Plot Evaluate t ,x,1,2,3 2; p2 Plot 1 x ^m , x,1 2,3 2, PlotStyle RGBColor 0,0,1; Show p1,p2 ·程序运行结果 实验四 实验名称:最小二乘法 实验目的:测定某种刀具的磨损速度与时间的关系实验内容:

西工大2020年4月《数字电子技术》作业机考参考答案

西工大2020年4月《数字电子技术》作业机考参考答案 试卷总分:100 得分:98 一、单选题(共25 道试题,共50 分) 完整答案:wangjiaofudao 1.{ A.{ B.{ C.{ D.{ 正确答案:A 2.十进制数27.5对应的二进制数是()。 A.11010.1 B.11011.1 C.10011.01 D.11001.01 正确答案:B 3.{ A.0,2,4,5,6,7,13 B.0,1,2,5,6,7,13 C.0,2,4,5,6,9,13 D.2,4,5,6,7,11,13 正确答案:A 4.设计10进制计数器,至少需要()级触发器。 A.10 B.4 C.5 D.2 正确答案:B 5.{ A. B. C. D. 正确答案:

6. A.AB B.1 C.0 D. B.{ C.{ D.{ 正确答案: 9.二进制数11001.1对应的八进制数是()。 A.62.1 B.62.4 C.31.4 D.31.1 正确答案: 10.{ A.4 B.5 C.6 D.7 正确答案: 11.{ A.0,2,3,5,6 B.4,6,7

C.4,5,6 D.0,1,2,3,5 正确答案: 12.{ A.{ B.{ C.{ D.{ 正确答案: 13.{ A.4 B.5 C.6 D.7 正确答案:B 14.四个变量的卡诺图中,逻辑上不相邻的一组最小项为()。 A. B. C. D. 正确答案: 15.{ A.15 B.9 C.8 D.7 正确答案: 16.{ A. B. C. D. 正确答案:

统计信号处理实验四东南大学

统计信号处理 实验四 《统计信号处理》实验四 目的: 掌握自适应滤波的原理; 内容一: 假设一个接收到的信号为:x(t)=s(t)+n(t), 其中s(t)=A*cos(wt+a), 已知信号的频率w=1KHz,而信号的幅度和相位未知,n(t)是一个服从N(0,1)分布的白噪声。为了利用计算机对信号进行处理,将信号按10KHz的频率进行采样。 1) 通过对x(t)进行自适应信号处理,从接收信号中滤出有用信号s(t); 2)观察自适应信号处理的权系数; 3)观察的滤波结果在不同的收敛因子u下的结果,并进行分析; 4)观察不同的抽头数N对滤波结果的影响,并进行分析; 内容二: 在实验一的基础上,假设信号的频率也未知,重复实验一; 内容三: 假设s(t)是任意一个峰峰值不超过1的信号(取幅度为的方波),n(t)是一个加在信号

中的幅度和相位未知的,频率已知的50Hz单频干扰信号(假设幅度为1)。信号取样频率1KHz,试通过自适应信号处理从接收信号中滤出有用信号s(t)。 要求: 1)给出自适应滤波器结构图; 2)设计仿真计算的Matlab程序,给出软件清单; 3)完成实验报告,对实验过程进行描述,并给出试验结果,对实验数据进行分析。实验过程: 1、假设一个接收到的信号为:d(t)=s(t)+n(t), 其中s(t)=A*cos(wt+a), 已知信号的频率w=1KHz,而信号的幅度和相位未知,n(t)是一个服从N(0,1)分布的白噪声。为了利用计算机对信号进行处理,将信号按10KHz的频率进行采样。 1)参考信号d(k)=s(k)+n(k),s(k)=A*cos(wk+a),产生一个与载波信号具有相同频率的正弦信号作为输入信号() x k,即x(k)=cos(wk)。经过自适应处理后,就可以在输出信号() y k端得到正确的载波信号(包含相位和幅度)。 框图如下: 2)改变收敛因子 μ,观察滤波结果。 3)改变滤波器抽头数N,观察滤波结果。 2、在实验一的基础上,假设信号的频率也未知,重复实验一。 参考信号d(k)=s(k)+n(k),s(k)=A*cos(wk+a),将参考信号延时一段时间后得到的信号作为输入信号() x k,即x(k)=d(k-m)。经过自适应处理后,就可以在误差输出端y(k)得到正确的载波信号(包含频率、相位和幅度)。 3、假设s(t)是任意一个峰峰值不超过1的信号(取幅度为的方波),n(t)是一个加在信号中的幅度和相位未知的,频率已知的50Hz单频干扰信号(可以假设幅度为1)。信号取样频率1KHz,试通过自适应信号处理从接收信号中滤出有用信号s(t)。 我们可以使用陷波滤波器对噪声进行滤除,但普通滤波器一旦做成,其陷波频率难以调整。如果使用自适应陷波滤波器,不仅可以消除单频干扰,而且可以跟踪干扰的频率变化,持续消噪。 自适应陷波滤波器的原理框图如下图所示: 假如输入信号是一个纯余弦信号 () cos C t ω? + ,则可将其分为两路,将其中一路进行

西工大数字电路实验报告——实验六

实验六:计数器及其应用 一. 实验目的: 1. 熟悉常用中规模计数器的逻辑功能。 2. 掌握时序电路一般设计方法。 3. 能够应用时序电路解决实际问题。 二. 实验设备: 数字电路试验箱,数字双踪示波器,函数信号发生器,74LS161,,74LS00及Multisim 仿真软件。 三. 实验原理: 计数是一种最简单基本运算,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能。计数器按计数进制有:二进制计数器,十进制计数器和任意进制计数器;按计数单元中触发器所接收计数脉冲和翻转顺序分有:异步计数器,同步计数器;按计数功能分有:加法计数器,减法计数器,可逆(双向)计数器等。 目前,TTL 和CMOS 电路中计数器的种类很多,大多数都具有清零和预置功能,使用者根据器件手册就能正确地运用这些器件。实验中用到异步清零同步置数四位二进制计数器74LS161。 74LS161为异步清零计数器,即端输入低电平,不受CP 控制,输出端立即全部为“0”。74LS161具有同步置数功能,在端无效时,端输入低电平,在时钟共同作用下,CP 上跳后计数器状态等于预置输入 , 即同步预置功能。和都无效,T 或P 任意一个为低电平,计数器处于保持状态,即输出状态不变。只有四个控制输入都为高电平,计数器才实现16加法计数。74LS161引脚排列如图(1)所示,表(1)为它的功能表。 图(1) r C r C D L 3210D D D D r C D L

1 0 ↑ D C B A 1 0 1 0 1 1 1 ↑ 表(1) 四.实验内容: 1.用74LS161和74LS00实现两种置数方式的十进制计数。 (1)异步置数法: 利用芯片的预置功能,可以实现M=10进制计数器,M=16-N=10,其中N=6(二 进制为0110)为预置数。将0110送到输入端D3D2D1D0,计数器开始从0110 开始计数,在CP脉冲下一直计数到1111,此时,从进位端Qc输出1,经 非门送到Ld端,呈置数状态。还可以将D3D2D1D0全部接地,当输出值为 1001(十进制的9)时,两个输出端Q3和Q0经与非门送到Ld端,呈置数状 态。第二种方式的电路连接如下图上半部分: (2)同步清零法: 当计数器计数到1010(十进制10)的时候,Q3和Q1经与非门输出,使复位 端Cr为0,从而计数器从执行计数变为复位状态,其电路连接如上图下半 部分: 2.用74LS161和74LS00实现两种级联方式24进制计数。 因为M=24>16,所以才用两片74LS161计数器级联实现24进制计数。使第一片 计数器连接成异步置数法的10进制计数器,当Q3和Q1经与非门输出0时,

东南大学自控实验报告实验三闭环电压控制系统研究

东南大学自控实验报告实验三闭环电压控制系统研究

东南大学 《自动控制原理》 实验报告 实验名称:实验三闭环电压控制系统研究 院(系):专业: 姓名:学号: 实验室: 416 实验组别: 同组人员:实验时间:年 11月 24日评定成绩:审阅教师:

实验三闭环电压控制系统研究 一、实验目的: (1)经过实例展示,认识自动控制系统的组成、功能及自动控制原理课程所要解决的问题。 (2)会正确实现闭环负反馈。 (3)经过开、闭环实验数据说明闭环控制效果。 二、实验原理: (1)利用各种实际物理装置(如电子装置、机械装置、化工装置等)在数学上的“相似性”,将各种实际物理装置从感兴趣的角度经过简化、并抽象成相同的数学形式。我们在设计控制系统时,不必研究每一种实际装置,而用几种“等价”的数学形式来表示、研究和设计。又由于人本身的自然属性,人对数学而言,不能直接感受它的自然物理属性,这给我们分析和设计带来了困难。因此,我们又用替代、模拟、仿真的形式把数学形式再变成“模拟实物”来研究。这样,就能够“秀才不出门,遍知天下事”。实际上,在后面的课程里,不同专业的学生将面对不同的实际物理对象,而“模拟实物”的实验方式能够做到举一反三,我们就是用下列“模拟实物”——电路系统,替代各种实际物理对象。 (2)自动控制的根本是闭环,尽管有的系统不能直接感受到它的

闭环形式,如步进电机控制,专家系统等,从大局看,还是闭环。闭环控制能够带来想象不到的好处,本实验就是用开环和闭环在负载扰动下的实验数据,说明闭环控制效果。自动控制系统性能的优劣,其原因之一就是取决调节器的结构和算法的设计(本课程主要用串联调节、状态反馈),本实验为了简洁,采用单闭环、比例调节器K。经过实验证明:不同的K,对系性能产生不同的影响,以说明正确设计调节器算法的重要性。 (3)为了使实验有代表性,本实验采用三阶(高阶)系统。这样,当调节器K值过大时,控制系统会产生典型的现象——振荡。本实验也能够认为是一个真实的电压控制系统。 三、实验设备: THBDC-1实验平台 四、实验线路图: 五、实验步骤: (1)如图接线,建议使用运算放大器U8、U10、U9、U11、U13。

西北工业大学_信号与线性系统实验报告_实验一、实验二

西北工业大学 信号与线性系统实验报告学号姓名:

实验一常用信号的分类与观察 1.实验内容 (1)观察常用信号的波形特点及其产生方法; (2)学会使用示波器对常用波形参数的测量; (3)掌握JH5004信号产生模块的操作; 2.实验过程 在下面实验中,按1.3节设置信号产生器的工作模式为11。 (1)指数信号观察: 通过信号选择键1,按1.3节设置A组输出为指数信号(此时信号输出指示灯为000000)。用示波器测量“信号A组”的输出信号。 观察指数信号的波形,并测量分析其对应的a、K参数。 (2)正弦信号观察: 通过信号选择键1,按1.3节设置A组输出为正弦信号(此时A组信号输出指示灯为000101)。用示波器测量“信号A组”的输出信号。 在示波器上观察正弦信号的波形,并测量分析其对应的振幅K、角频率 w。 (3)指数衰减正弦信号观察(正频率信号): 通过信号选择键1、按1.3节设置A组输出为指数衰减余弦信号(此时信号输出指示灯为000001),用示波器测量“信号A组”的输出信号。 通过信号选择键2、按1.3节设置B组输出为指数衰减正弦信号(此时信号输出指示灯为000010),用示波器测量“信号B组”的输出信号。 *分别用示波器的X、Y通道测量上述信号,并以X-Y方式进行观察,记录此时信号的波主持人:参与人:

形,并注意此时李沙育图形的旋转方向。(该实验可选做) 分析对信号参数的测量结果。 (4)*指数衰减正弦信号观察(负频率信号):(该实验可选做) 通过信号选择键1、按1.3节设置A组输出为指数衰减余弦信号(此时信号输出指示灯为000011),用示波器测量“信号A组”的输出信号。 通过信号选择键2、按1.3节设置B组输出为指数衰减正弦信号(此时信号输出指示灯为000100),用示波器测量“信号B组”的输出信号。 分别用示波器的X、Y通道测量上述信号,并以X-Y方式进行观察,记录此时信号的波形,并注意此时李沙育图形的旋转方向。 将测量结果与实验3所测结果进行比较。 (5)Sa(t)信号观察: 通过信号选择键1,按1.3节设置A组输出为Sa(t)信号(此时信号输出指示灯为000111),用示波器测量“信号A组”的输出信号。并通过示波器分析信号的参数。 (6)钟形信号(高斯函数)观察: 通过信号选择键1,按1.3节设置A组输出为钟形信号(此时信号输出指示灯为001000),用示波器测量“信号A组”的输出信号。并通过示波器分析信号的参数。 (7)脉冲信号观察: 通过信号选择键1,按1.3节设置A组输出为正负脉冲信号(此时信号输出指示灯为001101),并分析其特点。 3.实验数据 (1)指数信号观察: 波形图: 实验结果: 主持人:参与人:

东南大学几何与代数matlab实验报告

数学实验报告 学号: , 姓名: 吴雪松, 得分: 实验1 求解线性方程组 实验内容: 用MATLAB求解如下线性方程组Ax = b, 其中 A = 56000000 15600000 01560000 00156000 00015600 00001560 00000156 00000015 ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? , b = [09009320] T. 实验目的: 1. 了解MATLAB软件, 学会MATLAB软件的一些基本操作; 2. 熟悉MATLAB软件的一些数值计算功能,如在矩阵方面的运算; 3. 练习编写简单的MATLAB程序。 实验原理: 1. 对于齐次线性方程组Ax = b, 根据gramer法则, 其解为X i=D i/D; 2. 当A可逆,即|A|≠0时, 方程组Ax = b的解为X=inv(A)*B; 3. 当……时, 对增广矩阵[A, b]进行初等行变换, 把它化为最简形, 则 原矩阵b对应的地方即为A-1*b,取最简形的最后一列赋给X即得线 性方程组的解。 实验方案: 1. 在MATLAB命令窗口中输入如下命令: A=[5 6 0 0 0 0 0 0; 1 5 6 0 0 0 0 0; 0 1 5 6 0 0 0 0; 0 0 1 5 6 0 0 0; 0 0 0 1 5 6 0 0; 0 0 0 0 1 5 6 0; 0 0 0 0 0 1 5 6; 0 0 0 0 0 0 1 5;]; b=[0 9 0 0 9 3 2 0]';%输入矩阵A与b X=[]; %声明一个名为X的空矩阵 D=det(A); %把A的行列式的值求出来赋给D for i=1:8 %开始循环语句 A=[5 6 0 0 0 0 0 0; 1 5 6 0 0 0 0 0; 0 1 5 6 0 0 0 0; 0 0 1 5 6 0 0 0;

层次分析法实验报告

东南大学《数学实验》报告 学号姓名成绩 实验题目:钓鱼岛问题 一实验目的 掌握层次分析法的有关知识及应用方法 二预备知识 层次分析法(Analytic Hierarchy Process,简称AHP)是将与决策总是有关的元素分解成目标、准则、方案等层次,在此基础之上进行定性和定量分析的决策方法。 层次分析法的特点是在对复杂的决策问题的本质、影响因素及其内在关系等进行深入分析的基础上,利用较少的定量信息使决策的思维过程数学化,从而为多目标、多准则或无结构特性的复杂决策问题提供简便的决策方法。尤其适合于对决策结果难于直接准确计量的场合。 三实验内容与要求 问题:假设钓鱼岛争端最终解决方案有如下几种:武力解决最终归属、政治谈判决定归属、提交国际法庭并接受判决、无限期搁置或中日共管,作为专家,用AHP方法为我国政府决策部门提供合理化决策。解答:

目标A 准则层C 措施层P A-C 判断矩阵为??? ? ??199/11 0029.0,1.0max ====CR CI T ,,,)(λω C1-P 判断矩阵为??? ? ? ??1272/1147/14/11 58.0001.00020.36026.0,315.0,0832.0max ====RI CI T ,,,)(λω C2-P 判断矩阵为?????? ? ? ?11 2/13/1112/15/1221 2/13521 90.000823.00247.41384.0,1189.0,25.0,4959.0max ====RI CI T ,,,)(λω

层次总排序权值表 1 .000865.0868.09.09.058.01.000751 .000823.09.0001.01.0<===?+?==?+?=RI CI CR RI CI 所以,层次总排序结果具有满意的一致性。优先级政治谈判最高,战争最低,所以应该倾向于用政治谈判或国际法庭等和平解决方式来解决钓鱼岛争端,战争手段只有在迫不得已的情况下才能使用。

相关文档
相关文档 最新文档