文档库 最新最全的文档下载
当前位置:文档库 › 第10章习题解答

第10章习题解答

第10章习题解答
第10章习题解答

10.1 简述与或阵列型可编逻辑器件的原理、结构特点。

答:原理: 由逻辑代数知,任何逻辑函数都可表示为输入变量的与或表达式。因此,与或阵列型PLD 以可编程的与门阵列和或门阵列为核心组成逻辑功能块,实现任意逻辑函数。

结构特点:PLD 采用先进的集成电路技术制造,内部结构复杂。包含许多逻辑门、缓冲器、存储器、编程元件等,缓冲器通常提供输入变量和反馈变量的原和反;由与阵列产生这些变量的乘积项;由或阵列求乘积项的逻辑和;为了满足不同应用的要求,输出电路可包含OC 输出、三态输出、寄存器输出等。

10.2 利用PROM 器件实现下列逻辑函数,并画出编程阵列图。

(1))14,12,7,6,4,3(1m F ∑= (2))12,8,7,4,3,2,0(2m F ∑= (3))15,11,9,7,3,1(3m F ∑= 解:画出编程阵列图:

10.3 用PROM 实现下列逻辑函数:

BC A C A C AB F ++=1 ;BD ABC BCD AC BC A F ++++=2

解:通过化简得: ∑=)15,14,13,12,11,10,9,8,7,6,3,2(1m F ∑=

)15,14,12,11,10,9,8,7,6,4,3,2,1,0(m F 2

1

F A 2F B 3

F C D

A

B C D 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15

全部最小项

F(A,B,C,D)

10.4 试用PROM 器件设计一个4位二进制同步加法计数器。 解:1. 状态转换图:

2. 卡诺图:

1

F A 2

F B C D

A

B C D 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15

全部最小项

F(A,B,C,D)

1000100110101011110011011110111101110110010101000011001000010000←←←←←←←↓↑→→→→→→→0

123Q Q Q Q 1011

110010101001

10

11110000111011011101111000011001010100110100001000010010

1101002

3011

0111213n

n n

n n n n n Q Q Q Q Q Q Q Q ++++

3. 状态方程:

n n n

n n n n n n n

n Q Q Q Q Q Q Q Q Q Q Q 012__3

__23

__1

3__0

313+++=+ n n n n n n n n Q Q Q Q Q Q Q Q 01__2

__12

__02

12

++=+ __0

1

__1

11n n n n n Q Q Q Q Q +=+ __010

n n Q Q

=+

4. 驱动方程:(采用D 触发器和PLOM 器件实现)

=

+++=m

n n n n n n n n n n Q Q Q Q Q Q Q Q Q Q D )14,13,12,11,10,9,8,7(012__3

__2

3

__1

3

__0

3

3

∑=++=m n

n n n n n n

Q Q Q Q Q Q Q D )14,13,12,11,6,5,4,3(01__2

__1

2__022

∑=+=m n n

n n Q Q Q Q D )14,13,10,9,6,5,2,1(__0

1

__11

∑==m n Q D )14,12,10,8,6,4,2,0(__0

5. 电路图:

1

1

1

1

10

101111010001000000101101002

3011

3n

n n

n n Q Q Q Q Q +n n n n n n n n n n n Q

Q Q Q Q Q Q Q Q Q Q

1

2

__3

__2

3

__1

3

__0

3

13

+++=+0

1

10101111101101010000101101002

30112

n

n n

n n Q Q Q Q Q +n

n n n n n n n Q Q Q Q Q Q Q Q

01__2

__1

2

__0

2

12

++=+1

1

10101011101001101000101101002

3011

1n

n n

n n Q Q Q Q Q +__0

1

__1

11

n n n n n Q

Q Q Q Q

+=+1

1

10100111100101100100101101002

3011

0n

n n

n n Q Q Q Q Q +__0

10

n n Q

Q

=+

10.5 用PLA(与、或阵列均可编程的可编程逻辑器件)实现的组合逻辑电路如图P10.5所示,分析电路的逻辑功能 。

解:从中可得到:

AC B A C B Y 1++=, AB BC AC Y 2++=

10.6 用PLA(与、或阵列均可编程的可编程逻辑器件)实现的显示译码电路如图P10.6所示。(1)根据PLA 结构写出函数a ,b ,c ,d ,e ,f ,g 的逻辑表达式。

(2)分析电路功能,说明当输入变量ABCD 从0000变化到1111时,abcdefg 后接的七段LED 数码管显示的相应字形。

3

D 3Q 2

D 2Q 1D 1Q 0

Q 3

Q 1Q 0Q 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15

1D FF0 C1 Q Q

1D FF1 C1 Q Q

1D FF2 C1 Q Q 1D FF3 C1 Q Q

D 2

Q CP

1

1

1

A

B C

Y 1 Y 2

图P10.5

解: 可以得出函数表达式: (1)

D C B CD A D B A C B A a +++= C B B A D C CD A b +++= D B B A C B c ++= C B D C D B D C B d +++= D C D B e +=

D BC D C D C B C B A f +++= C B C B D BC C B A g +++=

(2) ABCD 从0000~1111变化时七段LED 数码管相应显示0,1,…,9和如下 六种图形:

6

5

10.7 试说明GAL 和PROM 在电路结构上有何不同。

答: PROM 是采用固定的与阵列和可编程的或阵列组成的PLD,由于输入变量的增加,会引起存储容量的急剧上升,只能用于简单组合电路的编程。

GAL 沿用了PAL 或阵列固定与阵列可编程结构,而对PAL 的输出I/O 结构进行了改进,

1

1

1

1

a b c d A

B

C

D

e f g

c a

b d

e

f g 图P10.6

增加了输出逻辑宏单元OLMC(outputLogicMacroCell),OLMC 设有多种组态,使得每个I/O 引脚可配置成专用组合输出,组合输出双向口,寄存器输出,寄存器输出双向口,专用输入等多种功能,为电路设计提供了极大的灵活性。

10.8 试配置GAL OLMC (12)的五种工作模式,画出电路图。 答:可以得出如下:

电路

图如下:

10.9 试用GAL16V8器件实现一个3线-8线译码器。

解:3线-8线译码器有3个输入A 、B 、C ,8个低电平有效的输出Y 0~Y 7,3个使能输入端:高电平有效的EN 1和低电平有效的EN 2A 、EN 2B ,1个低电平有效的输出使能端OE 。当OE=0时,有

SYN AC0 AC1(n) 工作模式 备 注 1 0 1 专用输入 实现组合逻辑电路。

第1和11管脚作为数据输入端。

1 0 0 专用组合输出 1 1 1 反馈组合输出 0 1 1 时序组合输出 实现时序逻辑电路。 第1和11管脚分别是CK 和OE 0 1 0 寄存器输出 图10.1.7 OLMC 的5种工作模式

XOR (n)

Q

C1 Q 1D

CK

OE

XOR (n)

CK

OE XOR (n)

XOR (n) V CC

I/O(n)

I/O(n)

I/O(n)

I/O(n)

I/O(n)

I/O(m)

OLMC

OLMC

OLMC

OLMC

OLMC

(a) 专用输入

(b) 专用组合输出

(c) 反馈组合输出

(d) 时序组合输出 (e) 寄存器输出

C B A EN EN EN Y 2B 2A 10=,C B A EN EN EN Y 2B 2A 11=,C B A EN EN EN Y 2B 2A 12=,

BC A EN EN EN Y 2B 2A 13=,C B A EN EN EN Y 2B 2A 14=,C B A EN EN EN Y 2B 2A 15=, C AB EN EN EN Y 2B 2A 16=,ABC EN EN EN Y 2B 2A 17=

由上面8个表达式可知每个输出都是一个乘积项,就此编程可得一个三态的三线-八线译码器。编程后GAL16V8內部结构如下图所示:

10.10 试用GAL16V8器件设计一个十进制同步加法计数器。

解: 计数器应具有清零端 CLR ,设CLR 为高电平清零、为低电平计数器使能。设计数脉冲的输入端为CLK 。具有加计数功能,且能自启动。当 OE=0 时,计数器输出计数结果;OE=1 时,计数器的输出呈高阻态。

用GAL16V8实现十进制加法计数器的参考框图如下:

(1)

(19)Y 0

(18)Y 1

(17)Y 2

(16)Y 3

(15)Y 4

(14)Y 5

(13)Y 6

(12)Y 7

(11)

OE(2)

A(3)

B(4)

C(5)

EN 1(6)

EN 2A (7)

EN 2B (8)

(7)

1 14

2 15 11 16 17 GAL16V8

CLK CLR OE

Q 3 Q 2 Q 1 Q 0

用GAL16V8实现十进制加法计数器的VHDL源程序如下:

module GAL16V8 10P

title 'decimal counter

cnt 10p device 'GAL16v8';

Clk,Clr,OE pin 1, 2, 11;

Q3,Q2,Q1,Q0 pin 14, 15, 16, 17 istype 'reg_D, invert';

Ck, X, Z, P= .C. , .X., .Z., .P.;

" Counter States

S0 = ^b0000; S4 = ^b0100; S8 = ^b1000; S12= ^b1100;

S1 = ^b0001; S5 = ^b0101; S9 = ^b1001; S13= ^b1101;

S2 = ^b0010; S6 = ^b0110; S10= ^b1010; S14= ^b1110;

S3 = ^b0011; S7 = ^b0111; S11= ^b1011; S15= ^b1111;

equations

[Q3,Q2,Q1,Q0].c : = Clk;

[Q3,Q2,Q1,Q0].oe : = !OE;

state_diagram [Q3,Q2,Q1,Q0]

State S0: IF !Clr THEN S1 ELSE S0;

State S1: IF !Clr THEN S2 ELSE S0;

State S2: IF !Clr THEN S3 ELSE S0;

State S3: IF !Clr THEN S4 ELSE S0;

State S4: IF !Clr THEN S5 ELSE S0;

State S5: IF !Clr THEN S6 ELSE S0;

State S6: IF !Clr THEN S7 ELSE S0;

State S7: IF !Clr THEN S8 ELSE S0;

State S8: IF !Clr THEN S9 ELSE S0;

State S9: GOTO S0;

"Ensure return from illegal state

State S10: GOTO S0;

State S11: GOTO S0;

State S12: GOTO S0;

State S13: GOTO S0;

State S14: GOTO S0;

State S15: GOTO S0;

end

10.11 简述ispLSI 1016的组成,各部分的功能。

答:

器件主要包含32个I/O单元、16个GLB、互连布线区和时钟分配网络。8个GLB A0~A7与16个I/O单元I/O0~I/O15组成一个宏模块。余下的组成另一个宏模块。通过输入布线区将I/O单元的输入信号引到全局布线区,任何一个GLB可从全局布线区选择输入信号作为其输入。输出布线区可将GLB的输出灵活地与宏模块内的任何4个I/O单元相联。I/O单元则是内部逻辑和器件引脚的互连电路,可设置为输入、输出和双向模式。

10.12 解释在系统编程的含义。为什么ispLSI 1016 可以在系统编程?

答:ISP(In-System Programming)在系统可编程,指电路板上的空白器件可以编程

写入最终用户代码, 而不需要从电路板上取下器件,已经编程的器件也可以用ISP 方式擦除或再编程。ISP 技术是未来发展方向。

ispLSI 1016器件的编程接口信号如图10.11所示。当ispEN=0时,器件处于编程状态。除编程接口引脚外,PLD 的其余引脚全部为高阻态,对外部元件无影响,故可实现在系统编程。

10.13 PROM 、PLA 、PAL 、GAL 、CPLD 、FPGA 中,哪些是高密度PLD ?哪些是低密度PLD ? 答: PLD 按集成度(以700门/片为界)可以分为低密度PLD 和高密度PLD 。低密度PLD 主要有PROM 、PLA 、可编程阵列逻辑PAL 和通用阵列逻辑GAL ,高密度PLD 主要有CPLD 和现场可编程门阵列FPGA 等几种类型。 10.14 简述FPGA 的工作原理。

答: 目前FPGA 中多使用4输入的LUT ,所以每一个LUT 可以看成一个有4位地址线的16x1的RAM 。 当用户通过原理图或HDL 语言描述了一个逻辑电路以后,PLD/FPGA 开发软件会自动计算逻辑电路的所有可能的结果,并把结果事先写入RAM,这样,每输入一个信号进行逻辑运算就等于输入一个地址进行查表,找出地址对应的内容,然后输出即可。 10.15 比较快速互连FPGA 和分段互连FPGA 的特点。

答: 分段互连FPGA 由可配置的逻辑块CLB 、输入/输出块IOB 和可编程的互连资源组成。CLB 实现基本逻辑功能,大量CLB 通过可编成的互连导线互连,实现复杂的逻辑功能,IOB 则是引脚与芯片内部逻辑的接口电路。

快速互连FPGA 将位置邻近的8个逻辑单元LE 局部互连形成较强功能的逻辑阵列块LAB ,然后用贯穿整个芯片的行、列导线编程连接LAB 和输入/输出单元IOE ,实现复杂的逻辑功能。

分段互连的优点是连线灵活,缺点是连线的信号传输延时不易估计。因为即使是同一设计的2次布线也不会相同。故信号的传输路径不同,传输延时也不同。如果希望估计信号的传输延时,可采用快速互连的FPGA 。

10.16 分别说明可编程逻辑器件PROM ,PLA ,PAL ,GAL ,CPLD 及FPGA 各自的特点。 答:PROM 用户可以用专用的编程器将自己的资料写入,但是这种机会只有一次,一旦写入后也无法修改,若是出了错误,已写入的芯片只能报废。

可编程逻辑器件(PLD),它能够完成各种数字逻辑功能。典型的PLD 由一个“与”门和一个“或”门阵列组成,而任意一个组合逻辑都可以用“与一或”表达式来描述. 可编程逻辑阵列(PLA),它也由一个“与”平面和一个“或”平面构成,但是这两个平面的连接关系是可编程的。 PLA 器件既有现场可编程的,也有掩膜可编程的。 PAL 由一个可编程的“与”平面和一个固定的“或”平面构成,或门的输.出可以通过触发器有选择地被置为寄存状态。

图10.11 ispLSI 的编程接口信号

IspLSI

IspEN

SCLK MODE SDI

SDO

通用阵列逻辑GAL采用了EEPROM工艺,实现了电可按除、电可改写,其输出结构是可编程的逻辑宏单元。

CPLD和FPGA都具有体系结构和逻辑单元灵活、集成度高以及适用范围宽等特点。这两种器件兼容了PLD和通用门阵列的优点,可实现较大规模的电路,编程也很灵活。10.17 在下列应用场合,选用哪类PLD最为适合。

(1)小批量定型的产品中的中小规模逻辑电路。

(2)产品研制过程中需要不断修改的中小规模逻辑电路。

(3)要求能以遥控方式改变其逻辑功能的逻辑电路。

答:

(1)选用PAL比较合适

(2)选用GAL比较合适

(3)选用ISP-PLD比较合适

10.18 常用的在系统可编程逻辑器件有哪些?各有什么特点?其与RAM有什么区别?

答:

常用的在系统可编程逻辑器件有:PAL ,GAL,CPLD及FPGA。

PAL由一个可编程的“与”平面和一个固定的“或”平面构成,或门的输.出可以通过触发器有选择地被置为寄存状态。

通用阵列逻辑GAL采用了EEPROM工艺,实现了电可按除、电可改写,其输出结构是可编程的逻辑宏单元。

CPLD和FPGA都具有体系结构和逻辑单元灵活、集成度高以及适用范围宽等特点。这两种器件兼容了PLD和通用门阵列的优点,可实现较大规模的电路,编程也很灵活。

区别:RAM指的都是半导体存储器,而RAM通常都是在掉电之后就丢失数据。

可编程逻辑器件(PLD),它能够完成各种数字逻辑功能,能以乘积和的形式完成大量的组合逻辑功能。

10.19 用MUX+PLUS 错误!未找到引用源。(或其替代软件QUARTUS 错误!未找到引用源。)设计一个一位全加器电路,并进行仿真分析。解:设计一位全加器电路如下:

(1) 在MAX+plusⅡ管理器的File \ New内,新建一个图形文件;

(2) 半加器的基础之上,组成1位全加器,如图19.1所示;

图19.1 1位全加器组器件:OR2、INPUT、OUTPUT

(3) 选择器件型号,单击Assign \ Device菜单,屏幕如图

(4) 保存文件,文件名不可与半加器器件的文件名相同;

(5) 归属工程文件File \ Project \ Set Project to Current File;

(6) 系统进行编译;

图19.2 选择待编程芯片的屏幕

(7) 程序下载实验箱,必须做手动接口的引脚分配,Assign \ Pin / Location / Chip;如图19.3;

图19.3 引脚分配图

( 8) 单击按钮,屏幕显示如图19.2所示。

(9) 在图19.3中点击“Configure”或者选择“Program”即可进行下载;

(10) 如有问题,点击(1 Programming File),选择相应的下载文件;

(11) 在实验箱上验证实验结果;

(12) 绘制全加器真值表;

10.20 简述VHDL的功能和基本结构。

答:硬件描述语言HDL用文本形式描述硬件电路的逻辑功能及连接关系,它能比电原理图更有效、更方便和简洁地表达硬件电路的特征。

一个数字系统的VHDL设计通常由若干个VHDL文件组成,每个文件主要包含以下部分中的一个或全部:实体说明,结构体,配置,程序包,VHDL语言的基本设计单元就是一个设计实体,对应于一个数字电路。实体说明规定设计实体(数字电路)的输入、输出端口,是设计实体与外界的一个通信界面。而结构体则用于描述设计实体输入、输出端口间的逻辑关系。

10.21 用VHDL语言描述一个2输入同或门电路。

解:VHDL的源代码如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY tor2 IS

PORT( a,b : IN STD_LOGIC;

y: OUT STD_LOGIC);

END tor2;

ARCHITECTURE tor2_arc1 OF tor2 IS

BEGIN

Y<=NOT(a XOR b);

END tor2_arc1;

10.22 用VHDL语言描述8线-3线优先编码器。

解:VHDL的源代码如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY encoder IS

PORT(d : IN STD_LOGIC_VECTOR(7 DOWNTO 0);

q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0));

END encoder;

ARCHITECTURE rtl OF encoder IS

BEGIN

PROCESS(d)

BEGIN

CASE d IS

WHEN"01111111"=>q<="111";

WHEN"10111111"=>q<="110";

WHEN"11011111"=>q<="101";

WHEN"11101111"=>q<="100";

WHEN"11110111"=>q<="011";

WHEN"11111011"=>q<="010";

WHEN"11111101"=>q<="001";

WHEN"11111110"=>q<="000";

END CASE;

END PROCESS;

END rel;

10.23 用VHDL语言描述具有异步复位/置位功能的D触发器

解:VHDL的源代码如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY async _rdff IS

PORT( d,clk : IN STD_LOGIC;

reset : IN STD_LOGIC;

q , qb : OUT STD_LOGIC);

END async_rd f;

ARCHITETURE rtl1 OF async_rd ff IS

BEGIN

PROCESS (clk, reset)

BEGIN

IF( reset = '0' ) THEN

q <= '0';

qb <='1';

ELSIF ( clk ' EVENT AND clk = '1' ) THEN

q <=d;

qb <= NOT d;

END IF;

END PROCESS;

END rtl1;

10.24 用VHDL语言描述一个5进制加法计数器。

解:引脚定义:

reset 复位信号,低电平有效

en 计数控制

clk 时钟

qa,qb,qc, 计数器输出

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY count5 IS

PORT(clk,reset,en: in STD_LOGIC;

qa,qb,qc: out STD_LOGIC);

END count5;

ARCHITECTURE behave OF count5 IS

SIGNAL count_3: STD_LOGIC_VECTOR(2 downto 0);

BEGIN

qa<=count_3(0);

qb<=count_3(1);

qc<=count_3(2);

PROCESS(clk,reset)

BEGIN

IF (reset='0') THEN

count_3<="000";

ELSIF(clk'event AND clk='1') THEN

IF(en='1') THEN

IF(count_3="100") THEN

count_3<="000";

ELSE

count_3<=count_3+'1';

END IF;

END IF;

END IF;

END PROCESS;

END behave;

10.25分析下面的VHDL语言程序,说明该程序描述的是什么逻辑功能的电路。

程序引脚定义: Reset--复位

en --计数控制

clk --时钟

qa,qb,qc,qd --计数器输出

LIBRARY ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity count12 is

port(clk,reset,en: in std_logic;

qa,qb,qc,qd: out std_logic);

end count12;

architecture behave of count12 is

signal count_4: std_logic_vector(3 downto 0);

begin

qa<=count_4(0);

qb<=count_4(1);

qc<=count_4(2);

qd<=count_4(3);

process(clk,reset)

begin

if (reset='0') then

count_4<="0000";

elsif(clk'event and clk='1') then

if(en='1') then

if(count_4="1011") then

count_4<="0000";

else

count_4<=count_4+'1';

end if;

end if;

end if;

end process;

end behave;

解:该程序描述的是一个同步十二进制加法计数器。

运筹学试题及答案

运筹学A卷) 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,答案选错或未选者,该题不得分。每小题1分,共10分) 1.线性规划具有唯一最优解就是指 A.最优表中存在常数项为零 B.最优表中非基变量检验数全部非零 C.最优表中存在非基变量的检验数为零 D.可行解集合有界 2.设线性规划的约束条件为 则基本可行解为 A.(0, 0, 4, 3) B.(3, 4, 0, 0) C.(2, 0, 1, 0) D.(3, 0, 4, 0) 3.则 A.无可行解 B.有唯一最优解medn C.有多重最优解 D.有无界解 4.互为对偶的两个线性规划, 对任意可行解X 与Y,存在关系 A.Z > W B.Z = W C.Z≥W D.Z≤W 5.有6 个产地4个销地的平衡运输问题模型具有特征 A.有10个变量24个约束

B.有24个变量10个约束 C.有24个变量9个约束 D.有9个基变量10个非基变量 6、下例错误的说法就是 A.标准型的目标函数就是求最大值 B.标准型的目标函数就是求最小值 C.标准型的常数项非正 D.标准型的变量一定要非负 7、m+n-1个变量构成一组基变量的充要条件就是 A.m+n-1个变量恰好构成一个闭回路 B.m+n-1个变量不包含任何闭回路 C.m+n-1个变量中部分变量构成一个闭回路 D.m+n-1个变量对应的系数列向量线性相关 8.互为对偶的两个线性规划问题的解存在关系 A.原问题无可行解,对偶问题也无可行解 B.对偶问题有可行解,原问题可能无可行解 C.若最优解存在,则最优解相同 D.一个问题无可行解,则另一个问题具有无界解 9、有m个产地n个销地的平衡运输问题模型具有特征 A.有mn个变量m+n个约束…m+n-1个基变量 B.有m+n个变量mn个约束 C.有mn个变量m+n-1约束 D.有m+n-1个基变量,mn-m-n-1个非基变量 10.要求不超过第一目标值、恰好完成第二目标值,目标函数就是

第10章习题解答

第10章习题参考答案* * 10.3【解】

10-4【解】 * 10.5题目改为:已知下列配合物的磁矩,根据价键理论指出各中心原子轨道杂化类型、 配离子空间构型,并指出配合物属内轨型还是外轨型。 【解】 [Co (NH 3)6]Cl 3 三氯化六氨合钴(Ⅲ) [CoCl 2 (NH 3)4]Cl 氯化二氯?四氨合钴(Ⅲ) [CoCl(NH 3)5]Cl 2 二氯化一氯?五氨合钴(Ⅲ) [CoCl 3 (NH 3)3] 三氯?三氨合钴(Ⅲ) 10.7题目改为:计算下列反应的标准平衡常数,并判断在标准状态下反应的方向。 【解】-2- -2-2θ 224 - 4 -2 2 {[Ag(CN)]}(S ) {[Ag(CN)]}(S )(Ag ) = = (CN ) (CN )(Ag ) c c c c c K c c c + + =θ2 θ f sp ()K K =2.51×10 -50 ×(1.3 ×1021)2 = 4.24 ×10 -8 θ m G r Δ=-RT ln K θ>0,反应逆向自发进行。 (2) + 322+ 44 4 434 44 3Cu )/[NH )/][OH )/][NH )/] [H )/]{[Cu(NH ]}/[OH )/][NH )/] )c c c c c c c c c c c c c c c c K (((((((θ Θ Θ Θ Θ Θ Θ Θ Θ + - + -= = θθ θ f w 44 (() ) b K K K = -54 2412 -144 1.78104.710 1.010 =2.1410) (()?????

流体力学习题解答

《流体力学》选择题库 第一章 绪论 1.与牛顿内摩擦定律有关的因素是: A 、压强、速度和粘度; B 、流体的粘度、切应力与角变形率; C 、切应力、温度、粘度和速度; D 、压强、粘度和角变形。 2.在研究流体运动时,按照是否考虑流体的粘性,可将流体分为: A 、牛顿流体及非牛顿流体; B 、可压缩流体与不可压缩流体; C 、均质流体与非均质流体; D 、理想流体与实际流体。 3.下面四种有关流体的质量和重量的说法,正确而严格的说法是 。 A 、流体的质量和重量不随位置而变化; B 、流体的质量和重量随位置而变化; C 、流体的质量随位置变化,而重量不变; D 、流体的质量不随位置变化,而重量随位置变化。 4.流体是 一种物质。 A 、不断膨胀直到充满容器的; B 、实际上是不可压缩的; C 、不能承受剪切力的; D 、在任一剪切力的作用下不能保持静止的。 5.流体的切应力 。 A 、当流体处于静止状态时不会产生; B 、当流体处于静止状态时,由于内聚力,可以产生; C 、仅仅取决于分子的动量交换; D 、仅仅取决于内聚力。 6.A 、静止液体的动力粘度为0; B 、静止液体的运动粘度为0; C 、静止液体受到的切应力为0; D 、静止液体受到的压应力为0。 7.理想液体的特征是 A 、粘度为常数 B 、无粘性 C 、不可压缩 D 、符合RT p ρ=。 8.水力学中,单位质量力是指作用在单位_____液体上的质量力。 A 、面积 B 、体积 C 、质量 D 、重量

9.单位质量力的量纲是 A、L*T-2 B、M*L2*T C、M*L*T(-2) D、L(-1)*T 10.单位体积液体的重量称为液体的______,其单位。 A、容重N/m2 B、容重N/M3 C、密度kg/m3 D、密度N/m3 11.不同的液体其粘滞性_____,同一种液体的粘滞性具有随温度______而降低的特性。 A、相同降低 B、相同升高 C、不同降低 D、不同升高 12.液体黏度随温度的升高而____,气体黏度随温度的升高而_____。 A、减小,升高; B、增大,减小; C、减小,不变; D、减小,减小 13.运动粘滞系数的量纲是: A、L/T2 B、L/T3 C、L2/T D、L3/T 14.动力粘滞系数的单位是: A、N*s/m B、N*s/m2 C、m2/s D、m/s 15.下列说法正确的是: A、液体不能承受拉力,也不能承受压力。 B、液体不能承受拉力,但能承受压力。 C、液体能承受拉力,但不能承受压力。 D、液体能承受拉力,也能承受压力。 第二章流体静力学 1.在重力作用下静止液体中,等压面是水平面的条件是。 A、同一种液体; B、相互连通; C、不连通; D、同一种液体,相互连通。 2.压力表的读值是 A、绝对压强; B、绝对压强与当地大气压的差值; C、绝对压强加当地大气压; D、当地大气压与绝对压强的差值。 3.相对压强是指该点的绝对压强与的差值。 A、标准大气压; B、当地大气压; C、工程大气压; D、真空压强。

运筹学典型考试试题及答案

二、计算题(60分) 1、已知线性规划(20分) MaxZ=3X1+4X2 X1+X2≤5 2X1+4X2≤12 3X1+2X2≤8 X1,X2≥0 其最优解为: 基变量X1X2X3X4X5 X33/2 0 0 1 -1/8 -1/4 X25/2 0 1 0 3/8 -1/4 X1 1 1 0 0 -1/4 1/2 σj 0 0 0 -3/4 -1/2 1)写出该线性规划的对偶问题。 2)若C2从4变成5,最优解是否会发生改变,为什么? 3)若b2的量从12上升到15,最优解是否会发生变化,为什么? 4)如果增加一种产品X6,其P6=(2,3,1)T,C6=4该产品是否应该投产?为什么?解: 1)对偶问题为 Minw=5y1+12y2+8y3 y1+2y2+3y3≥3 y1+4y2+2y3≥4 y1,y2≥0 2)当C2从4变成5时, σ4=-9/8 σ5=-1/4 由于非基变量的检验数仍然都是小于0的,所以最优解不变。 3)当若b2的量从12上升到15 X=9/8 29/8 1/4 由于基变量的值仍然都是大于0的,所以最优解的基变量不会发生变化。 4)如果增加一种新的产品,则 P6’=(11/8,7/8,-1/4)T σ6=3/8>0 所以对最优解有影响,该种产品应该生产 2、已知运输问题的调运和运价表如下,求最优调运方案和最小总费用。(共15分)。 B1B2B3产量销地 产地 A1 5 9 2 15 A2 3 1 7 11 A3 6 2 8 20 销量18 12 16 解:初始解为

计算检验数 由于存在非基变量的检验数小于0,所以不是最优解,需调整 调整为: 重新计算检验数 所有的检验数都大于等于0,所以得到最优解 3、某公司要把4个有关能源工程项目承包给4个互不相关的外商投标者,规定每个承包商只能且必须承包一个项目,试在总费用最小的条件下确定各个项目的承包者,总费用为多少?各承包商对工程的报价如表2所示: (15分) 项目 投标者 A B C D 甲 15 18 21 24 乙 19 23 22 18 丙 26 17 16 19 丁 19 21 23 17 答最优解为: X= 0 1 0 0 1 0 0 0 0 0 1 0 0 0 0 1 总费用为50 4. 考虑如下线性规划问题(24分) B 1 B 2 B 3 产量/t A 1 15 15 A 2 11 11 A 3 18 1 1 20 销量/t 18 12 16 B 1 B 2 B 3 产量/t A 1 5 13 0 15 A 2 -2 0 0 11 A 3 0 0 20 销量/t 18 12 16 B 1 B 2 B 3 产量/t A 1 15 15 A 2 11 11 A 3 7 12 1 20 销量/t 18 12 16 B 1 B 2 B 3 产量/t A 1 5 13 0 15 A 2 0 2 2 11 A 3 0 0 0 20 销量/t 18 12 16

第10章思考题和习题解答

| 第十章 电气照明 10-1.电气照明有什么特点对工业生产有什么作用 答:电气照明是供电中不可缺少的组成部分,合理的电气照明是保证安全生产、提高生产效率和保护工作人员视力健康的必要条件。 照明是人工照明中应用范围最广的一种照明方式。实践和实验都证明,照明设计是否合理,将直接影响到生产产品的质量和劳动生产率以及工作人员的视力健康,因此电气照明的合理设计对工业生产具有十分重要的意义。 10-2.什么叫发光强度、照度和亮度常用单位各是什么什么叫配光曲线 答:发光强度是表示向空间某一方向辐射的光通密度,单位为坎德拉(cd )。 照度是表示受照物体表面的光通密度,单位为勒克司(lx )。 ' 亮度是表示发光体在视线方向单位投影面上的发光强,单位为cd/m 2。 配光曲线也叫光强分布曲线,是在通过光源对称轴的一个平面上绘出的灯具光强与对称轴之间角度α的函数曲线。 10-3. 什么叫反射比反射比与照明有什么关系 答:反射比是指反射光的光通量ρφ与总投射光通量φ之比,即ρρφφ=。 反射比是一表征物体的光照性能参数。 10-4. 什么叫热辐射光源和气体放电光源试以白炽灯和荧光灯为例,说明各自的发光原理和性能。 、 答:利用物体加热时辐射发光的原理所做成的光源称为热辐射光源。常用的热辐射光源:白炽灯、卤钨灯。白炽灯的发光原理为灯丝通过电流加热到白炽状态从而引起热辐射发光。这种照明光源结构简单,价格低,显色性好,使用方便,适用于频繁开关。但发光效率低,使用寿命短,耐震性差。 利用气体放电时发光的原理所做成的光源称为气体放电光源。目前常用的气体放电光源有:荧光灯、高压钠灯、金属卤化物灯等。荧光灯的发光原理是利用汞蒸气在外加电压作用下产生电弧放电,发出少许可见光和大量紫外线,紫外线又激励管内壁涂覆的荧光粉,使之再发出大量的可见光。二者混合光色接近白色。荧光灯的光效率高,寿命长,但需要附件较多,不适宜安装在频繁起动的场合。 10-5. 试述荧光灯电路中的启辉器、镇流器和电容器的功能。 答: 启辉器的功能是控制灯丝加热时间。镇流器的功能是产生比电源电压高得多的电动势。电容器的功能是用来提高功率因数。 10-6. 在哪些场所宜采用白炽灯照明又在哪些场所宜采用荧光灯照明 答:照明开关频繁或因频闪效应影响视觉效果和需要防止电磁波干扰的场所,宜采用白炽灯。识别颜色要求高的场所,宜采用日光色的荧光灯。 、

流体力学习题答案讲解

【1-1】500cm 3的某种液体,在天平上称得其质量为0.453kg ,试求其密度和相对密度。 【解】液体的密度 33 4 0.4530.90610 kg/m 510m V ρ-= ==?? 相对密度 3 3 0.906100.9061.010w ρδρ?===? 【1-2】体积为5m 3的水,在温度不变的条件下,当压强从98000Pa 增加到 4.9×105Pa 时,体积减少1L 。求水的压缩系数和弹性系数。 【解】由压缩系数公式 10-15 10.001 5.110 Pa 5(4.91098000) p dV V dP β-=-==???- 910 1 1 1.9610 Pa 5.110 p E β-= = =?? 【1-3】温度为20℃,流量为60m 3/h 的水流入加热器,如果水的体积膨胀系数βt =0.00055K -1,问加热到80℃后从加热器中流出时的体积流量变为多少? 【解】根据膨胀系数 1t dV V dt β= 则 211 3600.00055(8020)6061.98 m /h t Q Q dt Q β=+=??-+= 【1-4】用200升汽油桶装相对密度0.70的汽油。罐装时液面上压强为98000Pa 。 封闭后由于温度变化升高了20℃,此时汽油的蒸汽压力为17640Pa 。若汽油的膨胀系数为0.0006K -1,弹性系数为13.72×106Pa ,(1)试计算由于压力温度变化所增加的体积,(2)问灌装时汽油的体积最多不应超过桶体积的百分之多少? 【解】(1)由1 β=-=P p dV Vdp E 可得,由于压力改变而减少的体积为 6 20017640 0.257L 13.7210??=-= ==?P p VdP V dV E 由于温度变化而增加的体积,可由 1β= t t dV V dT

运筹学试题及答案汇总

3)若问题中 x2 列的系数变为(3,2)T,问最优解是否有变化; 4)c2 由 1 变为 2,是否影响最优解,如有影响,将新的解求出。 Cj CB 0 0 Cj-Zj 0 4 Cj-Zj 3 4 Cj-Zj 最优解为 X1=1/3,X3=7/5,Z=33/5 2对偶问题为Minw=9y1+8y2 6y1+3y2≥3 3y1+4y2≥1 5y1+5y2≥4 y1,y2≥0 对偶问题最优解为 y1=1/5,y2=3/5 3 若问题中 x2 列的系数变为(3,2)T 则P2’=(1/3,1/5σ2=-4/5<0 所以对最优解没有影响 4)c2 由 1 变为2 σ2=-1<0 所以对最优解没有影响 7. 求如图所示的网络的最大流和最小截集(割集,每弧旁的数字是(cij , fij )。(10 分) V1 (9,5 (4,4 V3 (6,3 T 3 XB X4 X5 b 9 8 X1 6 3 3 X4 X3 1 8/5 3 3/5 3/5 X1 X3 1/3 7/5 1 0 0 1 X2 3 4 1 -1 4/5 -11/5 -1/3 1 - 2 4 X 3 5 5 4 0 1 0 0 1 0 0 X4 1 0 0 1 0 0 1/3 -1/ 5 -1/5 0 X5 0 1 0 -1 1/5 -4/5 -1/3 2/5 -3/5 VS (3,1 (3,0 (4,1 Vt (5,3 V2 解: (5,4 (7,5 V4 V1 (9,7 (4,4 V3 (6,4 (3,2 Vs (5,4 (4,0 Vt (7,7 6/9 V2 最大流=11 (5,5 V4 8. 某厂Ⅰ、Ⅱ、Ⅲ三种产品分别经过 A、B、C 三种设备加工。已知生产单位各种产品所需的设备台时,设备的现有加工能力及每件产品的预期利润见表:ⅠⅡⅢ设备能力(台.h A 1 1 1 100 B 10 4 5 600 C 2 2 6 300 单

流体力学习题解答

流体力学习题解答一、填 空 题 1.流体力学中三个主要力学模型是(1)连续介质模型(2)不可压缩流体力学模型(3)无粘性流体力学模型。 2.在现实生活中可视为牛顿流体的有水 和空气 等。 3.流体静压力和流体静压强都是压力的一种量度。它们的区别在于:前者是作用在某一面积上的总压力;而后者是作用在某一面积上的平均压强或某一点的压强。 4.均匀流过流断面上压强分布服从于水静力学规律。 5.和液体相比,固体存在着抗拉、抗压和抗切三方面的能力。 6.空气在温度为290K ,压强为760mmHg 时的密度和容重分别为 1.2a ρ= kg/m 3和11.77a γ=N/m 3。 7.流体受压,体积缩小,密度增大 的性质,称为流体的压缩性 ;流体受热,体积膨胀,密度减少 的性质,称为流体的热胀性 。 8.压缩系数β的倒数称为流体的弹性模量 ,以E 来表示 9.1工程大气压等于98.07千帕,等于10m 水柱高,等于735.6毫米汞柱高。 10.静止流体任一边界上压强的变化,将等值地传到其他各点(只要静止不被破坏),这就是水静压强等值传递的帕斯卡定律。 11.流体静压强的方向必然是沿着作用面的内法线方向。 12.液体静压强分布规律只适用于静止、同种、连续液体。= 13.静止非均质流体的水平面是等压面,等密面和等温面。 14.测压管是一根玻璃直管或U 形管,一端连接在需要测定的容器孔口上,另一端开口,直接和大气相通。 15.在微压计测量气体压强时,其倾角为?=30α,测得20l =cm 则h=10cm 。 16.作用于曲面上的水静压力P 的铅直分力z P 等于其压力体内的水重。 17.通过描述物理量在空间的分布来研究流体运动的方法称为欧拉法。 19.静压、动压和位压之和以z p 表示,称为总压。 20.液体质点的运动是极不规则的,各部分流体相互剧烈掺混,这种流动状态称为紊流。 21.由紊流转变为层流的临界流速k v 小于 由层流转变为紊流的临界流速k v ',其

第十章曲线曲面积分(习题及解答)

第十章 曲线曲面积分 §10.1对弧长的曲线积分 一、选择题 1. 设曲线弧段AB 为,则曲线积分有关系( ). (A)(,)d (,)d AB BA f x y s f x y s =-? ? ; (B)(,)d (,)d AB BA f x y s f x y s =? ? ; (C) (,)d (,)d 0AB BA f x y s f x y s +=?? ; (D) (,)d (,)d AB BA f x y s f x y s =--? ? . 答(B). 2. 设有物质曲线23 :,,(01),23 t t C x t y z t ===≤≤其线密度为 ρ它的质量M =( ). (A) 10 t ? ; (B) 10 t t ? ; (C) t ? ; (D) t ? . 答(A). 3.设OM 是从(0,0)O 到(1,1)M 的直线段,则与曲线积分 OM I s =?不相等的积分是( ). (A) 10 x ?; (B) 10 y ? ; (C) d r r ? ; (D) 10 e r ? 答(D). 4 .设L 是从 (0,0) A 到 (4,3) B 的直线段,则曲线积分

()d L x y s -=? ( ). (A) 403d 4x x x ??- ??? ?; (B)3 03d 4y y y ?? - ??? ?; (C)3 034y y y ?- ??; (D) 4 034x x x ? - ? ?. 答(D). 5. 设L 为抛物线2y x =上从点(0,0)到点(1,1)的一段弧,则 曲线积分 s =?( ). (A) x ?; (B) y ? ; (C) 10 x ? ; (D) y ? . 答(C). 6. 设L 是从(1,0)A 到(1,2) B -的直线段,则曲线积分 ()d L x y s +=?( ). (A) ; (B)2; (C) ; (D) . 答(D). 二、填空题 1. 设L 是圆周221x y +=,则31d L I x s =?与52d L I x s =?的大小关系是 . 答:12.I I =

第10章--应用电化学--习题及答案

第10章--应用电化学--习题及答案 应用电化学 习题及答案 10-1 水的标准生成自由能是-237.191kJ mol-1,求在25℃时电解纯水的理论分解电压。 解:H2O=H2 +1/2O2, 电子转移数为2,则有 ΔG = - n F Emf = -237.191kJ mol-1(n=2),-*****=-2×*****×Emf, Emf=1.229V 10-2 298.15K时测得电池: Pt(s)| H2( pO) | HCl(b) | Hg2Cl2(s) | Hg(l) 的电动势与HCl溶液的质量摩尔浓度的关系如下 b×103/(mol kg-1) Emf / V 75.08 37.69 18.87 5.04 0.4119 0.4452 0.4787 0.5437 求(1)EO甘汞(2)b= 0.07508 mol kg-1时HCl溶液的??。解:负极反应:H2-2e-→2H+ 正极反应:Hg2Cl2 +2e-→2Hg +2Cl- 电池反应:H2+ Hg2Cl2 →2H++2Hg +2Cl- ?a2(Hg)a2(HCl)?Θ ?所以有: E mf= E-RT/2Fln?= E-RT/2Fln?a2(HCl)? ?a(H)a(HgCl)?222??Θ a(HCl)=a (H+) a(Cl-)=(??b/bΘ)2 E mf=EO甘汞- (2RT/F) ln(b/bO) 对于稀溶液,ln??=-A’(I/bΘ)1/2, 1-1价电解质I=b (1) E mf+ (2RT/F) ln(b/bO)=EO甘汞+ (2RT/F) A’ (b/bO)0.5 , 以 E mf+(2RT/F)ln(b/bO)对(b/bO)0.5作图,直线的截距EO甘汞=0.2685 V (2) E mf=EO甘汞- (2RT/F) ln(b/bO) - (2RT/F) ln?? , ??=0.815 1 10-3 298.2K 时,在有玻璃电极的电池中,加入pH=4.00的缓冲溶液,测得电动势为0.1122V;则当电动势为0.2305V时,溶液的

电子电路第十章习题及参考答案

习题十 10-1 在数字系统中,为什么要采用二进制如何用二—十进制表示十进制数 答:在数字系统中采用二进制数有许多优点,其主要优点有:①对元件参数的要求较低;②不仅具备算术运算功能,而且具备逻辑运算功能;③抗干扰能力强、精度高;④便于长期保存信息;⑤安全、可靠;⑥通用性强。 通过二进制的编码来表示十进制数,这种编码称为BCD 码,BCD 的编码方式有很多种,最容易理解、最直观的编码是“8421”码,这是一种有权码,常用的BCD 有权码还有“2421码等,除此之外,在BCD 码中还有无权码。如格雷码、余3码等。 10-2 什么叫编码用二进制编码与二进制数有何区别 答:由于数字系统中用0、1两个数表示所有的信息,对于数字信息可以直接用二进制数表示,但是对于一些图形、符号、文字等信息,要用0、1来表示,就必须按照0、1的一定规则组合来代表。这种按照一定规则组合的代码,并赋予一定含义就称为编码。 二进制编码赋予了不同的含义(或代表图形、符号、文字、颜色等),而二进制数就是一个具体的数值,它代表了数值的大小和正负。 10-3 将下列二进制数转换成十进制数: ① ② .1001 ③ 111111 ④ 解:①()B =(27+26+22 +1)D =(128+64+4+1)D =(197)D ②(.1001)B =(27+25+22+21+2-1+2-4 )D =D ③(111111)B =(26 -1)D =(63)D ④()B =(211+210+27+26+23+22 )D =(3276)D 10-4 将下列十进制数转换成二进制数、八进制数、十六进制数: ① 57 ② ③ ④ 解:①(57)D =(111001)B =(71)O =(39)H ②D ≈B =O =H ③D =B =O =H ④D ≈(0.)B =O =(E7)H 10-5 把下列十六进制数转化成二进制数、八进制数、十进制数: ① H ② H ③ (3AB6)H ④ H 解:①H =B =O =D ②H =(.)B =O ≈D ③(3AB6)H =(0)B =(35266)O =(15030)D ④H =B =O ≈D 10-6 什么是模2加它与逻辑代数加法有何区别 答:模2加就是一位二进制加法的运算规则(不考虑进位)、而逻辑代数的加是逻辑关系的一种表述。。它们的规则分别如下: 模2加:011110101000=⊕=⊕=⊕=⊕ 逻辑加:1111101010 00=+=+=+=+ 10-7 将下列十进制数用8421BCD 码表示。 ① D ② D 解:①D =(0011 0111. 1000 0110)8421BCD ②D =(0110 0000 0101. 0000 0001)8421BCD 10-8 根据格雷码与二进制数的关系式,列出四位二进制数所对应的格雷码。

运筹学例题解析

(一)线性规划建模与求解 B.样题:活力公司准备在5小时内生产甲、乙两种产品。甲、乙两种产品每生产1 单位分别消耗2小时、1小时。又根据市场需求信息,乙产品的产量应该至少是甲产品产量的3倍。已知甲、乙两种产品每销售1单位的利润分别为3百元和1百元。请问:在5小时内,甲、乙两种产品各生产多少单位,才能够使得总销售利润最大 要求:1、建立该问题的线性规划模型。 2、用图解法求出最优解和最大销售利润值,并写出解的判断依据。如果不存在最优解,也请说明理由。 解:1、(1)设定决策变量: 设甲、乙两种产品分别生产x 1 、x 2 单位 。 (2)目标函数: max z=2 x 1+x 2 (3)约束条件如下:1221 12 25..3,0+≤??≥??≥?x x s t x x x x 2、该问题中约束条件、目标函数、可行域和顶点见图1所示,其中可行域用阴影部分标记,不等式约束条件及变量约束要标出成立的方向,目标函数只须画出其中一条等值线, 结论:本题解的情形是: 无穷多最优解 ,理由: 目标函数等值线 z=2 x 1+x 2与约 束条件2 x 1+x 2≤5的边界平行 。甲、乙两种产品的最优产量分别为 (5,0)或(1,3)单位;最大销售利润值等于 5 百元。 (二)图论问题的建模与求解样题 A.正考样题(最短路问题的建模与求解,清华运筹学教材编写组第三版267-268页例 13)某企业使用一台设备,每年年初,企业都要做出决定,如果继续使用旧的,要付维修费;若购买一台新设备,要付购买费。但是变卖旧设备可以获得残值收入,连续使用1年、2年、3年、4年以上卖掉的设备残值分别为8万元、6万元、3万元和0万元。试制定一个5年的更新计划,使总支出最少。已知设备在各年的购买费与维修费如表2所示。要求:(1)建立某种图论模型;(2)求出最少总支出金额。

第10章习题解答(DOC)

第10章 信号产生与处理电路 习 题 10 10.1 振荡电路与放大电路有何异同点。 解:振荡电路和放大电路都是能量转换装置。振荡电路是在无外输入信号作用时,电路自动地将直流能量转换为交流能量;放大电路是在有外输入信号控制下,实现能量的转换。 10.2 正弦波振荡器振荡条件是什么?负反馈放大电路产生自激的条件是什么?两者有何不同,为什么?。 解:正弦波振荡电路的振荡条件为1=? ?F A ,电路为正反馈时,产生自激的条件。 负反馈放大电路的自激条件为1-=? ?F A ,电路为负反馈时,产生自激的条件。 10.3 根据选频网络的不同,正弦波振荡器可分为哪几类? 各有什么特点? 解:正弦波振荡电路可分为RC 正弦波振荡器,LC 正弦波振荡器和石英晶体振荡器。 RC 正弦波振荡器通常产生低频正弦信号,LC 正弦波振荡器常用来产生高频正弦信号,石英晶体振荡器产生的正弦波频率稳定性很高。 10.4 正弦波信号产生电路一般由几个部分组成,各部分作用是什么? 解:正弦波振荡电路通常由四个部分组成,分别为:放大电路、选频网络、正反馈网络和稳幅网络。放大电路实现能量转换的控制,选频网络决定电路的振荡频率,正反馈网络引入正反馈,使反馈信号等于输入信号,稳幅网络使电路输出信号幅度稳定。 10.5 当产生20Hz ~20KHz 的正弦波时,应选用什么类型的振荡器。当产生100MHz 的正弦波时,应选用什么类型的振荡器。当要求产生频率稳定度很高的正弦波时,应选用什么类型的振荡器。 解:产生20Hz~20KHz 的正弦波时,应选用RC 正弦波振荡器。产生100MHz 的正弦波时,应选用LC 正弦波振荡器。当要求产生频率稳定度很高的正弦波时,应选用石英晶体振荡器。 10.6 电路如图10.1所示,试用相位平衡条件判断哪个电路可能振荡,哪个不能振荡,并简述理由。 解:(a) 不能振荡,不满足正反馈条件;(b) 可能振荡,满足振荡条件。

流体力学题及答案

C (c) 盛有不同种类溶液的连通器 D C D 水 油 B B (b) 连通器被隔断 A A (a) 连通容器 1. 等压面是水平面的条件是什么 2. 图中三种不同情况,试问:A-A 、B-B 、C-C 、D-D 中哪个是等压面哪个不是等压面为什么 3 已知某点绝对压强为80kN/m 2,当地大气压强p a =98kN/m 2。试将该点绝对压强、相对压强和真空压强用水柱及水银柱表示。 4. 一封闭水箱自由表面上气体压强p 0=25kN/m 2,h 1=5m ,h 2=2m 。求A 、B 两点的静水压强。

答:与流线正交的断面叫过流断面。 过流断面上点流速的平均值为断面平均流速。 引入断面平均流速的概念是为了在工程应用中简化计算。8.如图所示,水流通过由两段等截面及一段变截面组成的管道,试问: (1)当阀门开度一定,上游水位保持不变,各段管中,是恒定流还是非恒定流是均匀流还是非均匀流

(2)当阀门开度一定,上游水位随时间下降,这时管中是恒定流还是非恒定流 (3)恒定流情况下,当判别第II 段管中是渐变流还是急变流时,与该段管长有无关系 9 水流从水箱经管径分别为cm d cm d cm d 5.2,5,10321===的管道流 出,出口流速s m V /13=,如图所示。求流量及其它管道的断面平 均流速。 解:应用连续性方程 (1)流量:==33A v Q s l /10 3 -?

(2) 断面平均流速s m v /0625.01= , s m v /25.02=。 10如图铅直放置的有压管道,已知d 1=200mm ,d 2=100mm ,断面1-1处的流速v 1=1m/s 。求(1)输水流量Q ;(2)断面2-2处的平均流速v 2;(3)若此管水平放置,输水流量Q 及断面2-2处的速度v 2是否发生变化(4)图a 中若水自下而上流动,Q 及v 2是否会发生变化 解:应用连续性方程 (1)4.31=Q s l / (2)s m v /42= (3)不变。 (4)流量不变则流速不变。 11. 说明总流能量方程中各项的物理意义。 12. 如图所示,从水面保持恒定不变的水池中引出一管路,水流在管路末端流入大气,管路由三段直径不等的管道组成,其过水面积分别是A 1=,A 2=,A 3=,若水池容积很大,行近流速可以忽

第10章习题答案

实训习题参考答案 一、选择题 1.可以用普通螺纹中径公差限制( A B E ) A .螺距累积误差 B .牙型半角误差 C .大径误差 D .小径误差 E .中径误差 2.普通螺纹的基本偏差是( B C ) A .ES B .EI ; C .es D .ei 。 3.国家标准对内、外螺纹规定了( A B ) A .中径公差 B .顶径公差; C .底径公差 二、判断题 1.普通螺纹的配合精度与公差等级和旋合长度有关。 (√ ) 2.国标对普通螺纹除规定中径公差外,还规定了螺距公差和牙型半角公差。 (╳ ) 3.作用中径反映了实际螺纹的中径偏差、螺距偏差和牙型半角偏差的综合作用。(√ ) 三、简答题 1. 对内螺纹,标准规定了哪几种基本偏差?对外螺纹,标准规定了哪几种基本偏差? 答:对内螺纹,标准规定了G 及H 两种基本偏差。 对外螺纹,标准规定了e 、f 、g 和h 四种基本偏差? 2. 螺纹分几个精度等级?分别用于什么场合? 答:标准中按不同旋合长度给出精密、中等、粗糙三种精度。精密螺纹主要用于要求结合性质变动较小的场合;中等精度螺纹主要用于一般的机械、仪器结构件;粗糙精度螺纹主要用于要求不高的场合,如建筑工程、污浊有杂质的装配环境等不重要的连接。对于加工比较困难的螺纹,只要功能要求允许,也可采用粗糙精度。 3. 解释M10×1—5g6g —S 的含义。 答:M10—螺纹代号 1—螺距为1mm 5g —外螺纹中径公差带代号 6g —外螺纹顶径公差带代号 S —短旋合长度 四、计算题 1.有一对普通螺纹为M12×1.5—6G/6h ,今测得其主要参数如表1所示。试计算内、 (1)确定中径的极限尺寸 211.025D mm = 查表得:,2 190D T m μ=,32EI m μ=+ ES =EI +190=32+190=+222μm

运筹学例题及解答

运筹学例题及解答 一、市场对I、II两种产品的需求量为:产品I在1-4月每月需10000件,5-9月每月需30000件,10-12月每月需100000件;产品II在3-9月每月需15000件,其它月份每月需50000件。某厂生产这两种产品成本为:产品I在1-5月内生产每件5元,6-12月内生产每件4.50元;产品II在1-5月内生产每件8元,6-12月内生产每件7元。该厂每月生产两种产品能力总和应不超过120000件。产品I容积每件0.2立方米,产品II容积每件0.4立方米,而该厂仓库容积为15000立方米,要求:(a)说明上述问题无可行解;(b)若该厂仓库不足时,可从外厂借。若占用本厂每月每平方米库容需1元,而租用外厂仓库时上述费用增加为1.5元,试问在满足市场需求情况下,该厂应如何安排生产,使总的生产加库存费用为最少。 解:(a) 10-12月份需求总计:100000X3+50000X3=450000件,这三个月最多生产120000X3=360000件,所以10月初需要(450000-360000=90000件)的库存,超过该厂最大库存容量,所以无解。 ? ?(b)考虑到生产成本,库存费用和生产费用和生产能力,该厂10-12月份需求的不足只需在7-9月份生产出来库存就行, 则设xi第i个月生产的产品1的数量,yi第i个月生产的产品2 的数量,zi,wi分别为第i个月末1,2的库存数s1i,s2i分别

为用于第i+1个月库存的原有及租借的仓库容量m3,可建立模型: Lingo 程序为 MODEL: sets: row/1..16/:; !这里n 为控制参数; col/1..7/:; AZ(row,col):b,x; endsets 1211 127777778 7887898998910910109101110111110111211min (4.57)( 1.5) 30000150003000015000300001500030000150003000015000.i i i i i i z x y s s x z y w x z z y w w x z z y w w x z z y w w x z z y w w st x z ===+++-=→-=+-=→+-=+-=→+-=+-=→+-=+-=→+-=+∑∑1211121100005000 120000(712)0.20.415000(712)0i i i i i i i y w x z i z w s s s i ?????????=→+=??+≤≤≤?+=+??≤≤≤???变量都大于等于

机械课后习题答案第10章习题及解答

第10章习题及解答 10.1答:根据所承受载荷的不同,轴可分为转轴、传动轴和心轴三类。转轴:既承受转矩又承受弯矩,如减速箱中各轴、机床主轴等;传动轴:主要承受转矩,不承受或承受很小的弯矩,如汽车的传动轴、螺旋浆轴等;心轴:只承受弯矩而不承受转矩,如自行车轮轴、火车轮轴、滑轮轴等。 10.2答:转轴所受弯曲应力通常是对称循环变应力。转轴所受扭转应力则常常不是对称循环变应力,有可能是静应力、脉动循环变应力或对称循环变应力,根据实际受力状况判断。 10.3 答:轴的常用材料有碳素钢、合金钢、铸钢和球墨铸铁。按轴的工作场合、受载情况、使用状况和制造成本等选用材料。10.4答:从公式[]333 62.01055.9n p C n p d ≥?≥τ可以看出,在采用相同材料并忽略功率损耗的条件下,轴的最小直径与转速成反比,低速轴的转速远远要小于高速轴的转速,故低速轴的直径要比高速轴粗很多。 10.5答:因为开始设计时,支承的距离、受力大小、方向及作用位置均属未知。10.6答:轴的结构设计任务是在满足强度和刚度要求的基础上,确定轴的合理结构和全部几何尺寸。轴的结构设计应满足的要求是:轴及安装轴上的零件要有确定的工作位置;轴上零件要便于装拆、定位和调整;轴的结构不仅要有良好的工艺性,而且有利于提高轴的强度、刚度以及节省材料,减轻重量。 10.7答:轴上零件周向固定方式有: 1)键:广泛采用; 2)花键:用于传递载荷大、高速、对中性好、导向性好、对轴的削弱程度小等场合; 3)过盈配合:用于对中性好、承受冲击载荷等场合。 轴上零件周向固定方式有: 1)轴肩:可承受大的轴向力,结构简单、可靠; 2)轴环:可承受大的轴向力,结构简单、可靠; 3)套筒:可承受较大的轴向力,用于相邻两零件之间距离较短、转速较低的场合; 4)圆螺母:可承受较大的轴向力,用于便于零件装拆、轴的强度要求不高的场合; 5)轴端挡圈:可承受较大的轴向力,用于轴端; 6)弹性挡圈:只能承受较小的轴向力,用于不太重要场合; 7)圆锥面:通常与轴端挡圈或圆螺母联合使用,用于高速、受冲击载荷等场合。10.8解: 36.28()d mm ≥取d =38(mm ) 10.9解: []33660.20.23514505571.61()9.55109.5510d n P KW τ???≤==??10.10解:因轴的材料为45号钢,调质处理,由表16-1查得 B σ=650MPa ,由表16-3查得 许用弯曲应力[1b σ-]=60MPa ,取α=0.3,则

第四版运筹学部分课后习题解答

运筹学部分课后习题解答P47 1.1 用图解法求解线性规划问题 a) 12 12 12 12 min z=23 466 ..424 ,0 x x x x s t x x x x + +≥ ? ? +≥ ? ?≥ ? 解:由图1可知,该问题的可行域为凸集MABCN,且可知线段BA上的点都为 最优解,即该问题有无穷多最优解,这时的最优值为 min 3 z=2303 2 ?+?= P47 1.3 用图解法和单纯形法求解线性规划问题 a) 12 12 12 12 max z=10x5x 349 ..528 ,0 x x s t x x x x + +≤ ? ? +≤ ? ?≥ ? 解:由图1可知,该问题的可行域为凸集OABCO,且可知B点为最优值点, 即 1 12 122 1 349 3 528 2 x x x x x x = ? += ?? ? ?? +== ?? ? ,即最优解为* 3 1, 2 T x ?? = ? ?? 这时的最优值为 max 335 z=1015 22 ?+?=

单纯形法: 原问题化成标准型为 121231241234 max z=10x 5x 349 ..528,,,0x x x s t x x x x x x x +++=?? ++=??≥? j c → 10 5 B C B X b 1x 2x 3x 4x 0 3x 9 3 4 1 0 0 4x 8 [5] 2 0 1 j j C Z - 10 5 0 0 0 3x 21/5 0 [14/5] 1 -3/5 10 1x 8/5 1 2/5 0 1/5 j j C Z - 1 0 - 2 5 2x 3/2 0 1 5/14 -3/14 10 1x 1 1 0 -1/7 2/7 j j C Z - -5/14 -25/14

流体力学-课后习题答案

第一章习题答案 选择题(单选题) 1.1 按连续介质的概念,流体质点是指:(d ) (a )流体的分子;(b )流体内的固体颗粒;(c )几何的点;(d )几何尺寸同流动空间相比是极小量,又含有大量分子的微元体。 1.2 作用于流体的质量力包括:(c ) (a )压力;(b )摩擦阻力;(c )重力;(d )表面张力。 1.3 单位质量力的国际单位是:(d ) (a )N ;(b )Pa ;(c )kg N /;(d )2/s m 。 1.4 与牛顿内摩擦定律直接有关的因素是:(b ) (a )剪应力和压强;(b )剪应力和剪应变率;(c )剪应力和剪应变;(d )剪应力和流速。 1.5 水的动力黏度μ随温度的升高:(b ) (a )增大;(b )减小;(c )不变;(d )不定。 1.6 流体运动黏度ν的国际单位是:(a ) (a )2/s m ;(b )2/m N ;(c )m kg /;(d )2/m s N ?。 1.7 无黏性流体的特征是:(c ) (a )黏度是常数;(b )不可压缩;(c )无黏性;(d )符合RT p =ρ 。 1.8 当水的压强增加1个大气压时,水的密度增大约为:(a ) (a )1/20000;(b )1/10000;(c )1/4000;(d )1/2000。 1.9 水的密度为10003 kg/m ,2L 水的质量和重量是多少? 解: 10000.0022m V ρ==?=(kg ) 29.80719.614G mg ==?=(N ) 答:2L 水的质量是2 kg ,重量是19.614N 。 1.10 体积为0.53 m 的油料,重量为4410N ,试求该油料的密度是多少? 解: 44109.807 899.3580.5 m G g V V ρ= ===(kg/m 3) 答:该油料的密度是899.358 kg/m 3。 1.11 某液体的动力黏度为0.005Pa s ?,其密度为8503 /kg m ,试求其运动黏度。

大连理工大学运筹学习题与答案

线性规划习 题 一 1.1试述LP 模型的要素、组成部分及特征。判断下述模型是否LP 模型并简述理由。(式中x,y 为变量;θ为参数;a,b,c,d,e 为常数。) (1)max z=2x 1-x 2-3x 3 s.t.123123123121 35824350,0 x x x x x x x x x x x ++=??-+≤??-+≥??≥≤? (2)min z= 1 n k k kx =∏ s.t. 1 ,1,2...,0,1,2...,n ik k i k k a x b i m x k m =?≥=???≥=?∑ (3)min z= 1 1 n n i i j j i j a x b y ==+∑∑ s.t. ,1,2,...,,1,2,...i i j j i i ij x c i m y d j n x y e ?≤=? ≤=?? +≥? (4)max z= 1 n j j j c x =∑ s.t. 1 ,1,2,...,0,1,2,...n ij j i i j j a x b d i m x j n θ=?≤+=???≥=?∑ 1.2试建立下列问题的数学模型: (1)设备配购问题 某农场要购买一批拖拉机以完成每年三季的工作量:春种330公顷,夏管130公顷,秋收470公顷。可供选择的拖拉机型号、单台投资额及工作能力如下表所示。 问配购哪几种拖拉机各几台,才能完成上述每年工作量且使总投资最小? (2)物资调运问题

甲乙两煤矿供给A,B,C三个城市的用煤。各矿产量和各市需求如下表所示: 各矿与各市之间的运输价格如下表示: 问应如何调运,才能既满足城市用煤需求,又使运输的总费用最少? (3)食谱问题 某疗养院营养师要为某类病人拟订本周菜单。可供选择的蔬菜及其费用和所含营养成分的数量,以及这类病人每周所需各种养分的最低数量如下表所示: 另外为了口味的需求,规定一周内所用的卷心菜不多于2份,其它蔬菜不多于4份。若病人每周需14份蔬菜,问选用每种蔬菜各多少份? (4)下料问题 某钢筋车间要用一批长度为10米的钢筋下料制作长度为三米的钢筋90根和长度为四米的钢筋60根,问怎样下料最省? 用图解法求解下列LP问题: (1)min z=6x1+4x2 s.t. 12 12 12 21 34 1.5 0,0 x x x x x x +≥ ? ? +≥ ? ?≥≥ ? (2) max z=2.5x1+x2 s.t. 12 12 12 3515 5210 0,0 x x x x x x +≤? ? +≤? ?≥≥?

相关文档