文档库 最新最全的文档下载
当前位置:文档库 › 表决器

表决器

表决器
表决器

通信工程专业

计算机综合课程设计

题目基于51单片机-多人表决器

学生姓名赵丹学号1113024046 所在院(系)陕西理工学院物理与电信工程学院

专业班级通信工程专业 1102 班

指导教师张文丽

2014年 11 月 21 日

任务书

用AT89C51实现三人表决器

内容摘要:随着计算机技术的发展,单片机的应用领域也越来越广泛,尤其是在工业控制和仪器仪表智能化中扮演着极其重要的角色,单片机全称为“单片微型计算机”,从应用领域来看,单片机主要用于控制,所以又称为“微控制器”。在此次课程——三输入表诀器中,其原理就是由三个人来投票,当同意的票数大于或者等于2人时,则认为同意;反之,当否决的票数少于2人时,则认为不同意。实验中用3个拨挡开关来表示3个人,当对应的拨挡开关输入为‘0’时,表示此人同意;否则若拨挡开关输入为‘1’时,则表示此人反对。表决的结果用一个LED表示,若表决的结果为同意,则LED被点亮;否则,如果表决的结果为反对,则LED不会被点亮,因此,通过Proteus 7.4a电脑仿真软件以及KeilC51软件,再经过编写C语言程序,以达到对题目的仿真验证。

关键词:单片机;表诀器;AT89C51. 蜂鸣器

AT89C51 is used to implement the seven input voter

Content abstract:With the development of computer technology, single chip microcomputer application field is becoming more and more widely, especially in the industrial control and intelligent instrument plays a very important role, called the single chip microcomputer "single chip microcomputer", from the point of application field, single-chip computer is mainly used to control, so called "micro controller. In the course - three input table tactic, its principle is composed of three people to vote, when agreed to vote is greater than or equal to 2 person, thinks agree; Conversely, when veto votes less than 2 people, argues that don't agree. Experiment with three dial switch to represent the three men, when the corresponding dial switch input to '0', said he agreed to; Otherwise if the allocated block switch input is' 1 ', said the man. The results of the vote with an LED said, if the results of the vote to agree, LED lit up; Otherwise, if the results of the vote for the opposition, the LED is not lit, therefore, through the Proteus 7.4 a computer simulation software and KeilC51, repass write C language program, in order to achieve the simulation of the title.

Key words: single chip microcomputer; Table tactic; The specs AT89C51.

目录

1.引言........................................ 错误!未定义书签。

2.系统总体设计 (2)

2.1 生活中的表决器........................... 错误!未定义书签。

2.2 输入表决器的实现 (2)

2.3 AT89C51的管脚说明 (3)

2.4 实验构思 (3)

3.实现的程序 (3)

3.1 仿真程序 (3)

3.2 实验的仿真 (4)

4.注意项 (5)

5.实物图 (5)

结束语 (6)

致谢 (7)

参考文献 (8)

附录A (9)

附录B (13)

引言

电子数字计算机诞生于1946年,在其后的一个历史阶段中,计算机一直都是大型昂贵的的设备,直到20世纪70年代微处理器的出现,使得计算机逐渐小型,廉价并且高可靠性。而随着计算机技术的发展,单片机的应用领域也越来越广泛,尤其是在工业控制和仪器仪表智能化中扮演着极其重要的角色,单片机全称为“单片微型计算机”,从应用领域来看,单片机主要用于控制,所以又称为“微控制器”现今,掌握单片机这门课程是极其重要的,尤其是作为一名工科学生,我们不仅要学好课堂的理论知识,还需要通过一定的课程实验开动脑筋,激发学习兴趣,达到理论与实践相结合的目的。还有一个重要的认识就是,要学好一门课很容易,但是要真正能把它运动到实际中就难了,掌握一定的理论知识并且会做题还不够,关键是要怎么样去利用,所以,在今后的学习生活中,我要学会学以致用。

2.系统的总体设计

2.1生活中的表决器

如1-1图所示,这是表决器中的一种,表决器在生活中被我们所熟知,众所周知,在很多场合中都要用到表决器,选举大会中,可以通过表决器来为候选人进行投票选举,在比赛中评委中也可以通过表决器来决定选手的去留。有了表决器,可以使得程序更为简单,操作更为简单,也使得结果更未公正。例如,在奥运会中的举重比赛上,某一位选手举重后,需要由三位评委对选手的表现作出判决,如果选手的表现有所犯规,那么就会按下红灯,如果对选手的表现认可,那么就会按下绿灯,如果绿灯的个数大于等于2 ,那么选手的此次举重通过。如果红灯大于等于2,那么选手将不通过,生活中的表决器有很多种类,但不管实现方法是什么,它的原理只有一个,那就是:多数服从少数,因此,表决器在生活中发挥着极其重要的角色,而在此论文中,我所要做的便是运用简单的C语言编程,再通过Proteus仿真软件仿真实现一种通过开关和发光二极管产生结果的简易3输入表决器。

1-1

2.2 表决器的实现

三人表决器顾名思义就是由三个人来投票,当同意的票数大于或者等于2人时,则认为同意;反之,当否决的票数少于2人时,则认为不同意。实验中用3个拨挡开关来表示3个人,当对应的拨挡开关输入为‘1’时,表示此人同意;否则若拨挡开关输入为‘0’时,则表示此人反对。表决的结果用一个LED表示,若表决的结果为同意,则LED被点亮;否则,如果表决的结果为反对,则LED不会被点亮,因此,通过Proteus 7.4a 电脑仿真软件以及KeilC51软件,再经过编写C语言程序,以达到对题目的验证。

2.3 AT89C51的管脚说明

(1) P1口(1~8脚):P1.0~P1.7统称为P1口,可作为准双向I/O口使用,对于对于52字系列,P1.0与P1.1还有第二个功能:P1.0可用作定时/计数器2的计数脉冲输入端T2;P1.1可用作定时/计数器2的外部控制端T2EX,在此实验中P1.0~P1.6被接为7个开关用来进行表诀,而P1.7接发光二极管作为输出结果对表决后的结果进行显示。(2)VCC:供电电压。

(3)GND:接地。

如1-2图所示:

1-2

2.4实验构思

实验要求设计一个3输入表决器,可知,需要有3个输入端口作为判决器,因此,需要用到开关,通过高电平和低电平来判断,考虑到P1.0~P1.7时双向口,因此,将输入口接在P1—P6口上,将二极管输出显示接在P7 口,要使“1”信号正常输出,需要上拉电阻,因此,一个简易的7输出表决器便可以实现。

3.实现的程序

3.1仿真程序见附录A

3.2 实验仿真图

我们可知,按下开关为低电平,表示同意,不按开关为高电平,表示反对,若按下开关的人数大于2人时,此时输出的二极管加正向电压,发光二极管被点亮,表决通过;反之,若按下开关的人数小于2人时,此时二极管未被点亮,表决没有通过,通过发光二极管的亮灭即可判断最终的结果。

1.以下是当按下的开关数目为三是的仿真图,由于表示通过的人数小于2,表决并被通过,因此LED红灯亮,如1-3图所示

1-3

2.当按下的开关数为2时,此时表决通过,LED发光,如1-4图所示:

1-4

4.注意事项:

(一)在进行课题设计前,首先应该充分了解AT89C51的工作原理,以及各引脚的功能,继而才能根据课题要求进行设计。

(二)应当注意当按下开关时,接的是低电平,因此在编辑程序时不要弄反以免出现错误。

(三)实验过程中应当细致认真,戒骄戒躁,在调试程序失误时,应当耐心检查,及时改正,学会运用仿真软件,在仿真时,应当找准所需器件,连线时避免连错或是漏连而导致仿真失误。

5.实物图

结束语

通过此单片机课题的选定,编程,调试,仿真到最后完成论文,心情是喜悦并且激动的,觉得做好一件事情并不是那么容易,关键是要看你对这件事情的态度问题,通过这次课题实验,我也对单片机这门课有了更加深刻的认识,它的用途,它的功能,它的方便之处,都通过这几天的研究得到了体会,而且对知识的巩固也起到了一定的作用。这次论文课题的编写,还有一个重要的认识就是,要学好一门课很容易,但是要真正能把它运动到实际中就难了,掌握一定的理论知识并且会做题还不够,关键是要怎么样去利用,所以,在今后的学习生活中,我要学会学以致用。另外,单片机是建立在C语言的基础之上,因此可知大学所学的课程时相互关联的,学好每一门课是非常重要的,作为通信专业的同学,我们更应该熟练操作并且掌握好这门功课,这对以后的不管是毕业论文还是所学知识都是很有帮助的。

致谢

本课题在选题及研究过程中得到张老师的亲切关怀和悉心指导下完成的。她严肃的科学态度,严谨的治学精神,精益求精的工作作风,深深地感染和激励着我。从课题的选择到项目的最终完成,张老师都始终给予我细心的指导和不懈的支持。张老师不仅在学业上给我以精心指导,同时还在思想、生活上给我以无微不至的关怀,在此谨向张老师致以诚挚的谢意和崇高的敬意。

参考文献:李建忠.单片机原理及应用[M].西安:西安电子科技大学出版社.2008 谭浩强.C程序设计[M].北京:清华大学出版社.2005

附录A

仿真程序

#include

#define uchar unsigned char

#define uint unsigned int

uchar a,b,c;

uint t,y,t1,y1;

sbit p20=P2^0;

sbit p21=P2^1;

sbit p10=P1^0;

sbit p11=P1^1;

sbit p12=P1^2;

sbit p13=P1^3;

sbit p14=P1^4;

sbit p15=P1^5;

sbit p16=P1^6;

void daojishi5s();//5s倒计时子程序

void daojishi30s();//30s倒计时子程序

void panduan(); //判断程序

uchar code table[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90}; void delay(uint xms)

{

uint o,p;

for(o=xms;o>0;o--)

for(p=115;p>0;p--);

}

void main()

{

EA=1;

TMOD=0x11;

TH0=0x3C;

TL0=0xb0;

TH1=0x3C;

TL1=0xb0;

ET0=1;

ET1=1;

while(1)

{

daojishi5s();

panduan();

daojishi30s();

while(p10)

{

p14=1;

p15=1;

p16=1;

P0=0xff;

a=0;

b=0;

c=0;

}

}

}

void daojishi5s()//5s倒计时子程序 {

uchar l=2,i=11;

do

{

uchar j;

i--;

if(i<1)

{i=0;l=1;}

for(j=0;j<50;j++)

{

P0=table[i/10];

p20=1;

delay(10);

p20=0;

P0=table[i%10];

p21=1;

delay(10);

p21=0;

if(p11==0)a=1;

if(p12==0)b=1;

if(p13==0)c=1;

}

}while(l-1);

}

void daojishi30s()//30s倒计时子程序 {

uchar k=2,i=31;

do

{

uchar j;

i--;

if(i<1)

{i=0;k=1;}

for(j=0;j<50;j++)

{

P0=table[i/10];

p20=1;

delay(10);

p20=0;

P0=table[i%10];

p21=1;

delay(10);

p21=0;

}

}while(k-1);

}

void panduan() //判断程序

{

if(a+b+c>=2)

{

p15=0;

p16=0;

TR1=1;

}

else

{

p14=0;

TR0=1;

}

}

void zhongduansansuo() interrupt 1 //不通过

{

TH0=0x3c;

TL0=0xb0;

t++;

y++;

if(t==1) //设置频率一般不用改 p16=0;

if(t==50) //设置频率

{

p16=1;

t=0;

}

if(y==200)

{

y=0;

p16=1;

TR0=0;

}

}

void dingshizhongduan() interrupt 3 //通过{

TH1=0x3c;

TL1=0xb0;

t1++;

y1++;

if(t1==1) //设置频率一般不用改

p16=0;

if(t1==2) // 设置频率

{

p16=1;

t1=0;

}

if(y1==200)

{

y1=0;

t1=0;

TR1=0;

}

}

附录B

元器件清单

多数表决器的设计

数字逻辑课程实验报告 实验名称多路表决器的设计 实验人姓名颜建学 学号410109070321 班级4101090703 同组人姓名 实验时间2012/4/13 成绩 石家庄经济学院信工学院

一、实验内容 打开试验箱,连接上电源。将芯片74LS00和74LS20插好在实验板上。按如图一所示电路图。利用74LS00芯片将三个输入两两相与非,形成三个输出。再将三个输出连到74LS20芯片上。由于74LS20芯片为四个输入一个输出。没有的那个输入连在正极上。(注意芯片是否连接了电源)。然后按表决器真值表测试电路功能是否正确完整。 二、实验器件 .74LS00芯片1片 .74LS20芯片1片 三、实验原理 1.系统输入输出确定 2.表决器真值表如下: A B C F 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 3.逻辑函数表达式 F=AB+AC+BC 4.电路图如图一 (图一) 四、测试及分析 74LS00逻辑电路图和真值表如图二:

图表 2 74LS20逻辑电路图和真值表如图三: 图表 3 根据表决器真值表测试电路(高电平有效),当高电平输入大于或等于两个时,指示灯亮。反之,指示灯不亮。 所以说通过对实验结果进行的分析,其与理论结果一致。 五、总结 通过已知的逻辑电路图确定了所需的芯片。然后按照芯片功能,将输入依次连接进线路内。唯一困难的是不知道缺少的那个输入怎么办。在请教老师和分析电路逻辑之后,接上了正极(相当于高电平),对电路逻辑功能没有影响。在以后的实验中,要加强团队的合作,勤思多问,这样有利于更好地发现问题,解决问题。

五人表决器的数字电路课程设计

数字电路课程设计报告书 课题名称 五人表决器的设计 姓 名 **** 学 号 ******** 院、系、部 物理与电信工程系 专 业 电子信息工程 指导教师 ***** ***年 **月 **日 ※※※※※※※※※ ※※ ※※ ※ ※ ※※※※※※※※※ ****级电子信息工程专业电子技术课 程设计

一、设计任务及要求: 设计任务: ①设计一个五人表决器。 ②通过两种不同颜色的灯来代表表决是否通过 要求: ①学习使用芯片74HC138进行控制。 ②多数人同意则通过,少数人同意则被否决。 ③用红灯亮表通过,绿灯亮表否决。 指导教师签名: **** 年月日二、指导教师评语: 指导教师签名: ****年月日三、成绩 验收盖章 **** 年月日

五人表决器的设计 1 设计目的 (1)熟悉集成电路的引脚及安排。 (2)掌握各芯片的逻辑功能及控制方法。 (3)学习multisim11.0仿真。 2设计思路 (1)设计表决工作电路 (2)设计不同开关控制译码器 (3)设计输出显示电路 3 设计过程 3.1、方案论证 按键选择译码显示输出 图3.1 五人表决器的结构框图 1. 当按下开关时,代表同意赞成,开关没有按下去时,表示不赞成。评委按照自己的意愿投票,选择是否按下开关,即选择逻辑电位。 2. 对逻辑电位进行译码。 3. 对译码后芯片的输出进行显示。 3.2、系统原理 对于五人表决器,首先设五人分别为A B C D E 设Y为表决的结果。其中有三人或三人以上同意(同意用“1”表示,不同意用“0”表示)则红灯亮(红灯用“1”表示),绿灯亮(绿灯用“0”表示)。 表3.1 5人表决器真值表 A B C D E Y A B C D E Y 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0 1 0 0 0 0 1 0 0 1 0 0 1 0 0 0 0 0 1 1 0 1 0 0 1 1 1 0 0 1 0 0 0 1 0 1 0 0 0 0 0 1 0 1 0 1 0 1 0 1 1

五人表决器的设计说明

《数字与逻辑电路基础》课程设计 ——五人表决器的设计 姓名: 学号:2015 学院:自动 任课教师:

目录................................................................... (2) 引言 (3) 摘要.............................................. 错误!未定义书签。实验设计原理...................................... 错误!未定义书签。实验步骤.......................................... 错误!未定义书签。真值表 (4) 卡诺图 (5) 电路图 (7) Multisim仿真截图 (8) 电路设计总结 (8)

引言: 现在火热的综艺节目都会请一些评委为参赛选手进行通过与否进行评判,最后给出通过与否的结果。而评委进行表决时,都会有不同结果,此时,就需要一个多人表决器,而本次设计是为五人表决结果的输出,解决了对每一位评委结果的分析,直接给出最终通过与否 的结果。 摘要: 74HC153芯片是两个四选一数选器共用两个地址码,两个四选一输出端分别输出,两个使能端分别控制,且为低电平有效。由于只有两个地址输入端,则需要构造第三个地址输入端,两四选一数选器分 区工作。 实验设计原理分析: 先用扩展法将74HC153设计构成三输入八选一数据选择器,再利用降维法实现五变量到三变量,最后加上适当的基础门电路即可实现五人多路表决器。最后用Multisim进行仿真实验。

实验步骤如下: 一.列出5人表决结果真值表。

四人表决器课程设计

电子课程设计报告 题目:设计四人表决器 课程:电子技术课程设计 学生姓名: 学生学号: 1414020221 年级: 2014级 专业:电子信息工程 班级: 2班 指导教师:赵旺 电子工程学院制 2016年5月

设计四人表决器 学生:任春晖 指导教师:赵旺 电子工程学院电子信息工程 1设计的任务与要求 1.1课程设计的任务 1.综合应用数字电路知识设计一个四电路表决器。了解各种元器件的原理及其应用。 2.深入了解表决器的工作原理。 3.掌握multisim软件的操作并对设计进行仿真。 4.锻炼自己的动手能力和实际解决问题的能力。 5.通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握四人表决器的设计方法。 1.2课程设计的要求 当输入端有三个或三个以上的高电平,出入端才为高电平(即灯亮,表决通过)。否则灯不亮(表决不通过)。 2四人表决器方案制定 2.1表决电路设计的原理 使用中、小规模集成电路来设计组合电路是最常见的逻辑电路设计方法。设计组合电路的一般步骤如图一所示。 图一组合逻辑电路设计流程图

根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 2.2表决电路的设计方案 设计中我们设A、B、C、D为表决人,若它们中有三个或三个以上同意(即为高电平1),则表决结果通过(即表决结果F为高电平1),否则表决不通过(即F为低电平0)。 ①根据步骤一中所述作出真值表: 表1 真值表

五人表决器设计

一、设计任务及要求: 设计任务: ①设计一个五人表决器。 ②通过两种不同颜色的灯来代表表决是否通过 要求: ①学习使用芯片74HC138进行控制。 ②多数人同意则通过,少数人同意则被否决。 ③用红灯亮表通过,绿灯亮表否决。 指导教师签名: **** 年月日二、指导教师评语: 指导教师签名: ****年月日三、成绩 验收盖章 **** 年月日

五人表决器的设计 1 设计目的 (1)熟悉集成电路的引脚及安排。 (2)掌握各芯片的逻辑功能及控制方法。 (3)学习multisim11.0仿真。 2设计思路 (1)设计表决工作电路 (2)设计不同开关控制译码器 (3)设计输出显示电路 3 设计过程 3.1、方案论证 按键选择译码显示输出 图3.1 五人表决器的结构框图 1. 当按下开关时,代表同意赞成,开关没有按下去时,表示不赞成。评委按照自己的意愿投票,选择是否按下开关,即选择逻辑电位。 2. 对逻辑电位进行译码。 3. 对译码后芯片的输出进行显示。 3.2、系统原理 对于五人表决器,首先设五人分别为A B C D E 设Y为表决的结果。其中有三人或三人以上同意(同意用“1”表示,不同意用“0”表示)则红灯亮(红灯用“1”表示),绿灯亮(绿灯用“0”表示)。 表3.1 5人表决器真值表 A B C D E Y A B C D E Y 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0 1 0 0 0 0 1 0 0 1 0 0 1 0 0 0 0 0 1 1 0 1 0 0 1 1 1

0 0 1 0 0 0 1 0 1 0 0 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 0 1 1 0 1 0 0 1 1 1 1 1 0 1 1 1 1 0 1 0 0 0 0 1 1 0 0 0 0 0 1 0 0 1 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 0 1 0 1 0 1 1 1 1 1 0 1 1 1 0 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 1 1 1 1 0 1 1 0 1 1 1 0 1 1 1 1 1 0 1 0 1 1 1 1 1 1 1 1 1 1 1 如果红灯亮了,则表示表决通过,若绿灯亮了,表示不通过。下面介绍系统所涉及的重要芯片。 3.3、主要元件介绍 芯片74HC138,是典型的集成译码器。它是3线-8线译码器,该译码器有3位二进制输入A B C,它们共有8种状态的组合,即可译出八个输出信号,输出为低电平有效。此外,还设置了3个使能端G1 G2A G2B,为电路的扩展提供了方便。 表3.2 74HC138集成译码器功能表 输入输出 G1G2A 非G2B 非 C B A Y0 非 Y1 非 Y2 非 Y3 非 Y4 非 Y5 非 Y6 非 Y7 非 * H * * * * H H H H H H H H * * H * * * H H H H H H H H L * * * * * H H H H H H H H H L L L L L L H H H H H H H H L L L L H H L H H H H H H H L L L H L H H L H H H H H H L L L H H H H H L H H H H H L L H L L H H H H L H H H H L L H L H H H H H H L H H H L L H H L H H H H H H L H H L L H H H H H H H H H H L

三人表决器电路的设计与安装

三人表决器电路的设计与安装

一、实验目的 正确理解数字电路的要求,能知道与门、或门、非门的含义;做到了解要用到的每个芯片是什么门集成电路以及芯片的各个引脚都具有哪些功能,我们可以去图书馆查阅书籍也可上网去阅读相关的网页资料。做到能真正了解数字电路的构造原理,这样我们才可以更好地焊好我们想要实现的功能产品以及学好有关数字电路方面的知识。 二、实验要求 1)判断正确的引脚位置; 2)理解数字电路的原理,掌握操作步骤,能正确安装所选定的电路; 3)掌握测试仪表仪器检测原件的使用及调整; 4)会根据测试结果分析故障产生的原因; 5)会利用原理图纸,判断具体故障的原因; 6)会根据自己所仿真的电路原理图画出实物装配图。 三、实验所需元件清单如下表所示: 四、实验产品所需主要芯片介绍 74LS00芯片是常用的具有四组2输入端的与非门集成电路,74LS10芯片是常用的具有三组3输入端的与非门集成电路,他们的作用都是实现一个与非门。其引脚排列分别如下图所示。

74LS00管脚排列图 74LS10管脚排列图 五、三人表决器逻辑电路设计 5.1、设计要求:当A、B、C三人表决某个提案时,两人或两人以上同意,提案通过,否则提案不通过。用与非门实现电路。 设A、B、C三个人为输入变量,同意提案时用输入1表示,不同意时用输入0表示;表决结果Y为输出变量,提案通过用输出1表示,提案不通过用输出0表示。由此可列出真值表,如下表所示。

根据真值表,我们可以写出输出函数的与或表达式,即: 对上式进行化简,得: 将上式变换成与非表达式为: 故,根据输出逻辑表达式,我们可以画出逻辑图为: 5.2、三人表决器电路原理图(仿真图) 我们用发光二极管的状态来表示表决结果通过与否,当发光二级管点亮表示表决结果通过,熄灭表示表决结果不通过。三人A、B、C的表决情况用按钮来实现,按下按钮表示同意,不按表示不同意。 根据上述说明,结合前面的逻辑电路,可得到三人表决器的原理图(仿真图)为: 5.3、三人表决器电路的安装与调试 按安装电路图完成电路的组装后,通上+5v电源,按下输入端A、B、C 的按钮进行不同的组合,观察发光二极管的亮灭,验证电路的逻辑功能。如果 输出结果与输入中的多数一致,则表明电路功能正确,即多数人同意(电路中 用“1”表示),表决结果为同意;多数人不同意(电路中用“0”表示),表决 结果为不同意。 5.4、三人表决器产品正面实物图及反面焊接图 5.5、三人表决器产品实验现象与结果分析 实验现象:当电路板焊接完成后,通上+5v电源,分别同时按下按钮S1、 S2,S2、S3,S1、S3或S1、S2、S3,观察到发光二极管均能点亮,且当分别只按下

裁判表决电路的设计

裁判表决电路的设计

————————————————————————————————作者:————————————————————————————————日期:

东北大学秦皇岛分校电子信息系电子线路课程设计 裁判表决电路 专业名称 班级学号 学生姓名 指导教师 设计时间

课程设计任务书 专业:通信工程学号:4091127学生姓名(签名): 设计题目:裁判表决电路 一、设计实验条件 数字电路实验室 二、设计任务及要求 1.根据设计课题要求,查阅相关资料; 2.通过设计方案的比较及所得逻辑器件,拿出最优的设计方案; 3.完成电路的安装、测试; 4.编写设计、安装、测试报告; 5.总结心得体会。 三、设计报告的内容 1.设计题目与设计任务 设计题目:裁判表决电路 设计任务:设计一个4裁判表决电路,其中A裁判为主裁判,B、C、D为副裁判;表决规则为:1)少数服从多数;2)当同意和反对人数相同时,服从主裁判。电路设计规则为:同意用逻辑“1”表示,反对用逻辑“0”表示。电路输出Y等于“0”表示决议不通过,等于“1”表示决议通过要求:写出输出Y的最简与或表达式。用仿真技术模拟实现上述功能。 (1页)

2.前言 设计目的:训练学生综合运用学过的数字电路的基本知识,独立设计比较复杂的数字电路能力,并能够在软件平台上搭建并仿真。 设计意义:通过课程设计学生应掌握设计所用硬件电路的工作原理,EDA软件的使用方法,能够熟练地利用EDA设计、调试数字电路系统,独立完成设计安装、测试全过程。 3.设计主体 各部分设计内容: 裁判表决器功能:设计四人裁判表决器,要求如果同意通过人数大于不同意通过人数则结果为通过,反之则不通过;如果同意通过人数等于不同意通过人数,则以主裁判的决定为最终结果;裁判不可弃权,只能选择通过或者不通过。通过时有红灯亮起。 ⑴逻辑赋值:设裁判四人分别为A B C D,表决结果为Y,裁判通过输出为1,不通过输出为0;最终表决结果通过输出为1,不通过输出为0。 ⑵列写真值表: 表1 真值表 A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 (2页)

七人表决器实验报告

竭诚为您提供优质文档/双击可除七人表决器实验报告 篇一:哈工大电工学新技术实践实验报告-7人表决器 总成绩: 一、设计任务 1、有七人参与表决,显示赞同者个数。 2当赞同者达到及超过4人时,绿灯显示表示通过。 二、设计条件 本设计基于软件multisim10.0.1进行仿真,在电机楼实验室20XX5进行验证。 三、设计要求 1、熟悉74Ls161,74Ls151,数码管的工作原理。 2、设计相应的电路图,标注元件参数,并进行仿真验证。 四、设计内容 1.电路原理图(含管脚接线)电路原理图如图1所示 图1电路原理图 2.计算与仿真分析

仿真结果如图2、3、4所示 图2仿真结果 图4仿真结果 4.调试流程 调试流程如图5所示 图5调试流程 5.设计和使用说明 74Ls151芯片为互补输出的8选1数据选择器,引脚排列如图6所示,功能见表1。选择控制端(地址端)为c~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,g为使能端,低电平有效。 (1)使能端g=1时,不论c~A状态如何,均无输出(Y=0,w=1),多路开关被禁止。 (2)使能端g=0时,多路开关正常工作,根据地址码c、b、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。如:cbA=000,则选择D0数据到输出端,即Y=D0。如:cbA=001,则选择D1数据到输出端,即Y=D1,其余类推。 图674Ls151引脚排列 表174Ls151功能表 74Ls161功能: (1)异步置“0”功能:接好电源和地,将清除端接低

电平无论其他各输入端的状态如何,测试计数器的输出端,如果操作无误Q3~Q0均为0。 (2)预置数功能:将清除端接高电平,预置控制端接低电平,数据输入端D3~D0置0011,在cp的上升沿作用后,测试输出端Q3~Q0的电平。如果操作准确,D3~D0的数据为0011,说明D3~D0的数据已预置到Q3~Q0端。 (3)计数和进位功能:将LD、cr、ceT、cep端均接高电平,cLK端输入单脉冲,记录输出端状态。如果操作准确,每输入一个cp 脉冲,计数器就进行一 篇二:课程设计报告---七人表决器设计 电子综合设计 题目 学院 专业 班级学生姓名指导教师 七人抢答器设计计信学院电子信息工程 20XX年6月18日 一、设计原理 所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。七人表决器顾名思义就是由七个人

三人表决器实验

三人表决器实验 我们实验采取3种输入方式:原理图方式,VHDL方式,VerilogHDL.你可以只看一种.下面我分别一一介绍 三人表决器的功能描述 三个人分别用手指拨动开关SW1、SW2、SW3来表示自己的意愿,如果对某决议同意,各人就把自己的指拨开关拨到高电平(上方),不同意就把自己的指拨开关拨到低电平(下方)。表决结果用LED(高电平亮)显示,如果决议通过那么实验板上L2(黄灯)亮;如果不通过那么实验板上L1(红灯)亮;如果对某个决议有任意二到三人同意,那么此决议通过,L2亮;如果对某个决议只有一个人或没人同意,那么此决议不通过,L1亮。 采用原理图设计三人表决器 我们根据三人表决器的直值表,可以通过卡诺图化简可以得到: L2=SW1SW2+SW1SW3+SW2SW3 L1=_L2 那么我们可以在MAX+plusII中用原理图实现上面的三人表决器 ~ 下面仅把和VHDL不同的详细写下,相同或基本相同的就一带而过: (1)打开MAX+plusII (2)新建一个图形文件:File菜单>new 新建文件时选择Graphic Editor file

点OK (3)输入设计文件 我们现在在图形文件中输入电路,我们这个电路需要AND2、OR3、NOT三个逻辑门电路和输入输出端,你可以 Symbol ->Enter Symbol(或者双击空白处) 弹出窗口: %

在Symbol Name中输入and2,点OK 同样可以加入or3、input、output、not 对input、output,鼠标左键双击PIN_NAME,那么PIN_NAME被选中,并且变黑,然后输入你要改的名字,如SW1 把元件拖动到合适位置,将光标放到元件的引线出,可以发现光标变为十字星,此时摁住左键就可以进行连线。

四人表决器的设计 课程设计报告

四人表决器的设计 设计要求 某特殊足球评委会由一位教练和三位球迷组成,对裁判员的判罚进行表决时,当满足以下条件即表示同意: (1)有三人或三人以上同意; (2)有两人同意,但其中一人必须是教练。 1、方案论证与对比 1.1方案一 1.1.1设计电路如图2 1.2 方案二 用2输入与非门设计,同样可根据表2的真值表作卡诺图以及它的逻辑函数表达式,这里省略没作,因为与方案一比劣势明显。该方案的电路图如下: 图1 2输入与非门接线图

1.3 方案对比与选择 该电路的原理和方案一的区别不大,只是这个全部用的2输入与非门来实现,这个方案中其一元器件使用太过单一,而方案一中用了译码器74HC138,这就使我们更加的熟悉了译码器的适用。其二方案一也大大减少了连线的交叉程度,方案二中因为一个芯片中含有几个与非门,只用一个又太浪费,全部用连线就会有很多交叉,使得用protel布线时带来很大的困难。所以综合以上两个原因我们选择了方案一。 2、分析与设计 2、1 译码器结构分析 四人表决器即要求四个输入端,三个球迷用三线-八线的译码器,但教练的身份比较特别,为使电路简单就直接接逻辑开关[1]。 74HC138是3线-8线译码器,其功能表如表1所示。该译码器有3位二进制输入A0,A1,A2,它们共有8种状态的组合,即可译出8个输出信号Y0-Y7,输出为低电平有效。此外,还设计了E3、E2和E13个是能输入端,为电路功能的扩展提供了方便。由功能表可知,当E3=1,且E2=E1=0时,译码器处于工作状态[2]。 由功能表可得: Y0=E3*E2*E1*A2*A1*A0 根据各输出的逻辑表达式可以写出最初的逻辑表达式,根据学校元件库能提供的元件,对逻辑表达式化简,于是最终确定完成本次设计的所有元器件,然后画出原理图,见图1 该表清楚的表达出74HC138的逻辑功能,即三输入八输出和使能端的有效电平,同时说明了74HC138的工作状态和输出有效电平。 由74HC138译码器和几个非门,或非门和与门组成的原理图电路,接通电源就进入工作状态,通过开关导通或打开表示输入各评委的投票结果,后面通过发光二极管输出并显示投票结果。

七人表决器课程设计报告

课程设计 课程名称硬件描述语言与EDA技术 题目名称硬件描述语言与EDA技术实践学生学院材料与能源 专业班级 11微电子学(1)班 学号 学生姓名 指导教师 2014年6月27日

广东工业大学课程设计任务书 题目名称硬件描述语言与EDA技术实践 学生学院材料与能源学院 专业班级11微电子学(1)班 姓名 学号 一、课程设计的内容与要求 1.系统功能分析,分模块层次化设计; 2.实现系统功能的方案设计; 3.编写各功能模块VHDL语言程序; 4.对各功能模块进行编译、综合、仿真和验证; 5.顶层文件设计,可用VHDL语言设计,也可以用原理图设计; 6.整个系统进行编译、综合、仿真和验证; 7.在CPLD/FPGA实验开发系统试验箱上进行硬件验证; 8.按所布置的题目要求,每一位学生独立完成全过程。 二、课程设计应完成的工作 1.所要求设计内容的全部工作; 2.按设计指导书要求提交一份报告书; 3.提交电子版的设计全部内容:工程目录文件夹中的全部内容,报告书

三、课程设计进程安排 序号设计各阶段内容地点起止日期 1 布置设计题目和要求;收集相关资料。工3-317或宿舍 6.23 2 方案分析与确定;编写VHDL源程序。工3-317或宿舍 6.24 3 编写VHDL源程序;编译、综合、仿真、 定时分析、适配。 工3-317或宿舍 6.25 4 下载和硬件验证;验收。工3-317 6.26 5 下载和硬件验证;验收;撰写报告工3-317 6.27 6 7 8 四、应收集的资料及主要参考文献 1.陈先朝,硬件描述语言与EDA技术实践指导书,2014年3月 2.曹昕燕等编著,EDA技术实验与课程设计,清华大学出版社,2006年5 月 3.刘欲晓等编著,EDA技术与VHDL电路开发应用实践,电子工业出版社, 2009年4月 4.刘昌华等编著,数字逻辑EDA设计与实践:MAX+plusⅡ与QuartusⅡ双 剑合璧,国防工业出版社,2009年 5.刘江海主编,EDA技术课程设计,华中科技大学出版社,2009年1月 发出任务书日期: 2014年6月 23日指导教师签名: 计划完成日期: 2014年6月 27日基层教学单位责任人签章: 主管院长签章:

三人表决器设计

西安电子科技大学 《数字电子技术》课程设计 题目三人表决器设计 学生姓名 专业班级通信工程 学号2011 院(系)信息工程学院 指导教师邓国辉 完成时间 2013年11月29日 手机号码

目录 1课程设计的目的 (1) 2课程设计的任务与要求 (1) 3设计方案与论证 (2) 3.2 表决方案的选择 (2) 3.3显示方案的选择 (3) 4设计原理及功能说明 (3) 4.1 三人表决器的原理框图 (3) 4.2 三人表决器基本原理图 (3) 5单元电路的设计 (4) 5.1 触发电路设计 (4) 5.2 表决电路设计 (5) 5.3 计数部分电路设计 (6) 6硬件的制作与调试 (7) 6.1 硬件的制作 (7) 6.2 硬件的调试 (8) 7总结 (8) 参考文献 (10)

附录1:总体电路原理图 (11) 附录2:元器件清单 (12)

1课程设计的目的 1、记住逻辑代数的基本定律和常用公式; 2、会用公式法和卡诺图法化简逻辑函数; 3、会识别、选购常用电路元、器件,掌握常用电路元器件的检测方法; 4、掌握逻辑门电路的逻辑功能与主要参数的测试和使用方法; 5、能合理利用门电路设计表决器; 6、能熟练掌握电路原理,及时调试和排除故障。 2课程设计的任务与要求 1、熟悉各集成逻辑元件的性能和设置元件的参数。 2、对电路图的原理进行分析,并对原理图进行改良,用仿真软件进行仿真调试,弄清楚电路的工作原理。 3、元件安装符合工艺要求,既考虑其性能又应美观整齐。焊接元件要注意焊点的圆润。 4、对元件的性能进行评估和替换、用性能和使用范围更好,更常用的元件进行替换,使自己实际的元件更接近实际使用。 5、学习数字逻辑电路的设计方法。 6、熟知74ls74、74ls08、74HC4075、74ls373各引脚的功能及内部结构。 7、学会使用各集成芯片组成逻辑电路。 8、学会真值表与逻辑表达式及的转换,能根据化简后的逻辑表达式画出逻辑电路。

三人表决器实验报告

电子技术与仿真 项目报告 项目名称:三人表决器的制作 专业班级:电气1 2 1 姓名:刘斌 学号: 2 4 指导教师:张琴 江西工业工程职业技术学院电工电子实训中心

一、项目目的 正确理解数字电路的要求,能知道与门、或门、非门的含义;做到了解要用到的每个芯片的引脚是什么门电路,可以去图书馆查阅书籍也可上网去阅读相关的网页资料。做到能真正了解电路的构造原理,这样才可以更好学好这门电路的元器件的应用。 二、项目要求 1)判断正确的引脚位置; 2)理解数字电路的原理,掌握操作步骤,能正确安装所选定的电路; 3)掌握测试仪表仪器检测原件的使用及调整; 4)会根据测试结果分析故障产生的原因; 5)会利用原理图纸,判断具体故障的原因; 6)必须得出实验的仿真结果; 7)根据自己所仿真的电路原理图画出实物装配图。 三、项目内容 1、原理图 2、工作原理介绍 电路由74LS08的三个与门电路和74LS32两个或门电路构成,当A、B、C中任意两人按下按钮后,工作电路向74ls08中任意一个与门电路输入端输入两个高电平,输出端Y输入进74ls32任意一个或门电路中;电路只要满足一个条件即输出端有电压输

出;所以发光二极管能点亮。 3、元件清单 4、芯片 ① 74LS08 实物图 引脚图 真值表 元件序号 主要参数 数 量 R1 1K Ω 3 R2 360Ω 1 U1 74LS08 1 U2 74LS32 1 按钮开关 3 发光二极管 1 A B Y 0 0 0 0 1 0 1 0 0 1 1 1

实物图引脚图 真值表 5、电路板安装 A B Y 000 011 101 111

四人表决器电路设计

名称:综合训练项目一题目:四人表决器电路设计 专业: 班级: 姓名: 学号: 辽宁工程技术大学 《数字电子技术》 综合训练项目一成绩评定表

《综合训练项目一》任务书 一、综合训练题目 四人表决器电路设计 二、目的和要求 1、目的:会运用不同类型门电路或中、小规模集成电路,设计简单组合电路,学习仿真软件应用,学习word文档制作。 2、要求:设计一个四人表决器,按少数服从多数规则,三人或三人以上同意,则通过。利用绿、红两种颜色灯代表是否通过,并用数码管显示同意人数;用门电路或中规模集成电路译码器、数选器、加法器等完成控制任务;有研究方案比较,能够应用相关仿真软件绘制逻辑图,用仿真软件验证电路功能。 成果形式:每小组提交综合训练报告一份;现场或视频答辩;有能力的同学制作实物。 上交时间:在讲授完第四章中的组合电路设计知识点后的一周之内提交。 三、训练计划 项目综合训练课下1周,课上1节。 第1天:针对选题查资料,确定整体设计方案; 第2~3天:学习Multisim仿真软件,熟悉Visio绘图软件。 第4~5天:论证电路设计,利用仿真软件仿真设计电路,观察能否达到设计要求;; 第6~7天:按格式要求编写整理设计报告。 四、设计要求 1. 每名同学按照自己分配的任务要求完成训练。 2. 绘图统一采用Visio2010。 指导教师: 日期:2017 年月 日

四人表决器在我们生活中应用非常广泛,比如表决等。掌握四人表决器的工作原理,对我们理解和掌握表决器具有重要意义。 本次的课程设计就是利用数字电子技术的知识做一个四人表决器。在mulitisim软件中,利用集成电路,通过四片74LS183和一片74LS48芯片连接到LED数码管上,一个显示赞成人数;再通过与门和非门,实现通过与否的判决。经过仿真,符合四人表决的功能。 关键词:四人表决器;74LS183;74LS84;LED数码管

四人表决器电路设计资料

{ 名称:综合训练项目一题目:四人表决器电路设计 ? 专业: 班级: 姓名: 学号: ` 辽宁工程技术大学 《数字电子技术》 * 综合训练项目一成绩评定表

《综合训练项目一》任务书 一、综合训练题目 四人表决器电路设计 二、目的和要求 1、目的:会运用不同类型门电路或中、小规模集成电路,设计简单组合电路,学习仿真软件应用,学习word文档制作。 2、要求:设计一个四人表决器,按少数服从多数规则,三人或三人以上同意,则通过。利用绿、红两种颜色灯代表是否通过,并用数码管显示同意人数;用门电路或中规模集成电路译码器、数选器、加法器等完成控制任务;有研究方案比较,能够应用相关仿真软件绘制逻辑图,用仿真软件验证电路功能。 成果形式:每小组提交综合训练报告一份;现场或视频答辩;有能力的同学制作实物。 上交时间:在讲授完第四章中的组合电路设计知识点后的一周之内提交。 三、训练计划 项目综合训练课下1周,课上1节。 第1天:针对选题查资料,确定整体设计方案; 第2~3天:学习Multisim仿真软件,熟悉Visio绘图软件。 第4~5天:论证电路设计,利用仿真软件仿真设计电路,观察能否达到设计要求;; 第6~7天:按格式要求编写整理设计报告。 四、设计要求 1. 每名同学按照自己分配的任务要求完成训练。 2. 绘图统一采用Visio2010。 指导教师: 日期:2017 年月 日

四人表决器在我们生活中应用非常广泛,比如表决等。掌握四人表决器的工作原理,对我们理解和掌握表决器具有重要意义。 本次的课程设计就是利用数字电子技术的知识做一个四人表决器。在mulitisim软件中,利用集成电路,通过四片74LS183和一片74LS48芯片连接到LED数码管上,一个显示赞成人数;再通过与门和非门,实现通过与否的判决。经过仿真,符合四人表决的功能。 关键词:四人表决器;74LS183;74LS84;LED数码管

无线表决系统方案书()

无线表决系统 技术方案

目录 HZICS-2000无线表决系统 (2) 一、系统特点 (2) 二、系统优势 (2) 1、无线表决系统与有线表决系统比较优势 (2) 2、会智无线表决系统与其他无线表决系统比较 (3) 三、系统产品技术指标 (4) 1、系统拓扑图 (4) 2、系统功能 (4) 3、无线表决器 (6) 4、无线收发器 (9) 5、无线表决中央控制主机 (9) 6、会议系统管理软件 (10)

HZICS-2000无线表决系统 一、系统特点 A、安全保密:无线中控主机与表决终端通讯采用双向身份认证机制,有效杜绝“基站欺骗”与 “终端欺骗”,大大提高安全性能。 B、数据多重效验、准确可靠:通信底层,在数据的发送端、数据接收端及表决软件的上层处理 过程中,加入约定算法及特定的校验逻辑,从而在系统不同的层次实现数据完整性的保障。 C、抗震设计、性能稳定:表决器元器件采用SMD(贴片焊接工艺),整体设计达抵抗1.5米自由 落体震动的工业级抗震标准,元器件无损坏,设备外壳无损,无变形,无脱漆,设备工作正常; D、无开关设计:表决器不设开关,防止在表决过程中,代表误操作关机而无法正常工作,从而 最大幅度保证统计结果的准确性; E、防开启电池盖设计:正常情况下,无法打开电池盖,防止表决器在工作状态中,使用人员因 误操作开启电池盖导致电池松动而关机,无法进行正常表决; F、高效率:并行处理与多信道(最多可达16信道)通讯方式,最短化系统收发数据时间; G、抗干扰:在无线通讯的底层软件及系统应用层软件都采用多重自动纠错校验处理,防止外 界同频段信号的干扰; H、多重收发器工作模式:独特创新的多收发器,在硬件层双重或多重数据收发校验,在任何复 杂工作环境中确保收发数据完整可靠。 I、节能环保:耗能元件采用此功耗芯片,平时处于睡眠状态,通过主控计算机控制唤醒工作, 最大化减少表决终端的电能消耗。 J、功能齐全:签到、表决、评议、选举、打分各项功能齐全。 K、显示直观、方式多样:LED指示灯显示、液晶显示一应俱全,工作指令显示及按键结果显示确认反映直观明了。 L、专业性强:会议议程编辑简单方便, 生成的议程直观明了。流程化会议执行模式,只需逐条点击会议议程项目,会议程序即可轻松完成。 二、系统优势 1、无线表决系统与有线表决系统比较优势 A、无需布线。有线表决系统需对会场进行布线施工,会场建筑、地板、桌椅等等都需与系统布 线协调处理;无线表决系统则减免上述麻烦,不受会场布局影响。 B、节省成本:无线表决系统架构运行无需网络线材,减免了大量的网络线材成本、网络安装费 用及日后网络维护、更换费用。 C、不受场所限制:因无线表决系统无须安装,体积较小,无论在哪个场所使用,只需将设备放

三人表决器电路的设计与安装

电子产品制作与调试 实验报告 报告名称:三人表决器电路的设计与安装专业班级:光伏121 姓名:陈志坚 学号:20120160 指导教师:舒为清 江西工业工程职业技术学院电工电子实训中心

一、实验目的 正确理解数字电路的要求,能知道与门、或门、非门的含义;做到了解要用到的每个芯片是什么门集成电路以及芯片的各个引脚都具有哪些功能,我们可以去图书馆查阅书籍也可上网去阅读相关的网页资料。做到能真正了解数字电路的构造原理,这样我们才可以更好地焊好我们想要实现的功能产品以及学好有关数字电路方面的知识。 二、实验要求 1)判断正确的引脚位置; 2)理解数字电路的原理,掌握操作步骤,能正确安装所选定的电路; 3)掌握测试仪表仪器检测原件的使用及调整; 4)会根据测试结果分析故障产生的原因; 5)会利用原理图纸,判断具体故障的原因; 6)会根据自己所仿真的电路原理图画出实物装配图。 三、实验所需元件清单如下表所示: 序号种类名称规格型号数量 1 U1 芯片74LS00 1 2 U2 芯片74LS10 1 3 R1、R2、R3 电阻1KΩ 3 4 R4 电阻300Ω 1 5 S1、S2、S3 按钮普通 3 6 LED 发光二极管单色发光二级管 1 四、实验产品所需主要芯片介绍 74LS00芯片是常用的具有四组2输入端的与非门集成电路, 74LS10芯片是常用的具有三组3输入端的与非门集成电路,他们的作用都是实现一个与非门。其引脚排列分别如下图所示。

74LS00管脚排列图 74LS10管脚排列图 五、三人表决器逻辑电路设计 5.1、设计要求:当A、B、C三人表决某个提案时,两人或两人以上同意,提案通过,否则提案不通过。用与非门实现电路。 设A、B、C三个人为输入变量,同意提案时用输入1表示,不同意时用输入0表示;表决结果Y为输出变量,提案通过用输出1表示,提案不通过用输出0表示。由此可列出真值表,如下表所示。

基于VerilogHDL的表决器的设计

基于V e r i l o g H D L的表 决器的设计 This model paper was revised by LINDA on December 15, 2012.

学生课程实验报告书 12 级电通系 通信工程专业 03 班 学号 312890 姓名 2014--2015学年第 2 学期 实验项目:基于Verilog HDL的表决器的设计 实验时间: 实验目的: 1、熟悉Verilog HDL的编程。 2、熟悉七人表决器的工作原理。 3、进一步了解实验系统的硬件结构。 实验原理: 所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。 七人表决器顾名思义就是由七个人来投票,当同意的票数大于或者等于4时,则认为同意;反之,当否决的票数大于或者等于4时,则认为不同意。实验中用7个按键开关来表示七个人,当对应的按键开关输入为‘1’时,表示此人同意;否则若按键开关输入为‘0’,则表示此人反对。表决的结果用一个LED表示,若表决的结果为同意,则LED被点亮;否则,如果表决的结果为反对,则LED不会被点亮。同时,数码管上显示通过的票数。 实验内容: 本实验就是利用实验系统中的按键开关模块和LED模块以及数码管模块来实现一个简单的七人表决器的功能。按键开关模块中的键1~键7表示七个人,当按键开关输入为‘1’时,表示对应的人投同意票,否则当按键开关输入为‘0’时,表示对应的人投反对票;LED模块中D1表示七人表决的结果,当LED1点亮时,表示此行为通过表决;否则当LED1熄灭时,表示此行为未通过表决。同时通过的票数在数码管上显示出来。 实验步骤:原理图步骤与实验一相同 模式选择键”:按动该键能使实验板产生12种不同的实验电路结构。本次实验的模式选择到“5”(红色数码管上显示)。 用下载电缆通过JTAG口将对应的sof文件加载到FPGA中。观察实验结果是否与自己的编程思想一致

基于单片机的无线表决器设计-电子信息工程毕业设计论文

湖州师范学院求真学院毕业设计(论文) 2014 届 题目基于单片机的无线表决器设计 专业电子信息工程 学生姓名 学号10283441 指导教师 论文字数约1万1千 完成日期2014-02-20 湖州师范学院求真学院教务部印制

基于单片机的无线表决器设计 摘要:根据STC89C52单片机和无线表决系统的特点,本文介绍了一种用单片机控制液晶LCD屏显示模拟表决结果的方法。同时从无线表决器的模块选择,电路分析,软件程序设计等方面,介绍了无线表决器的各个模块的功能,电路的功能,以及各个模块电路中元器件的作用。该设计具有用户自行投票,液晶显示投票结果和投票数目的功能。该设计基本能达到会议投票表决目的,设计具有成本低、效果好等优点,无论对于科学研究还是市场价值都有一定的意义。 关键词:无线表决,单片机,LCD1602液晶显示,按键模块

The Design of Wireless Voting Device based on MCU Abstract:According to the characteristics of STC89C52 single-chip microcomputer and wireless voting sys tem, this paper presents a LCD screen display with a single chip analog control vote.In this paper, wireless voting systerm based on MCU is discussed mainly from the aspect of the module selection, circuit analysis and software design. This paper introduces the function of each module, the function of the circuits and the action of the components in the circuits. The design has the user to vote, the voting results and the number of votes for liquid crystal display function. This design can basically achieve the purpose of the conference voting, and have the advantages of low cost, good effect, and certain significance both for scientific researc h and market value. Key words:Wireless voting, single chip, LCD1602display, keyboard module

五人人表决器 数字电路

Guangxi University of Science and Technology 数字电子技术基础课程设计 课程名称:数字电子技术 课题名称:5人表决器 姓名:庞兴文 学号:201200402009 院系:计算机学院通信工程专业 专业班级:通信121 指导教师:张雅兰 完成日期:2014年7月10日

目录 一.设计任务 (3) 二.设计要求 (3) 三.设计目的 (3) 四.设计思路 (4) 五.设计过程 (4) 六.设计体会 (10)

一、设计任务 ①设计一个五人表决器。 ②通过灯亮来代表表决是否通过 二、设计要求 ①学习使用八选一数据选择器芯片74LS151进行控制。 ②当有三个或三个以上的人同意则通过,少于三个同意则被否决。 ③用红灯表示五人中亮灯的情况,用绿灯亮表示通过。

三、设计目的 本课程设计是在前导验证性认知实验基础上,进行更高层次的命题设计实验,要求学生在教师指导下独立查阅资料、设计、安装和调试特定功能的电子电路。培养学生利用模拟、数字电路知识,解决电子线路中常见实际问题的能力,使学生积累实际电子制作经验,目的在于巩固基础、注重设计、培养技能、追求创新、走向实用用已学过的知识和对数字电子技术的基本理论,基本概念,基本方法和单元电路,逻辑部件的深入认识,而拓宽思路,扩大视野,进一步巩固,扩充所学知识,提高分析问题和解决问题的能力。(1)熟悉集成电路的引脚及安排。 (2)掌握各芯片的逻辑功能及控制方法。 (3)学习multisim11.0仿真。 (4)学习Altium designer软件 四、设计思路 (1)设计表决工作电路 (2)设计不同开关控制数据选择器 (3)设计输出显示电路

相关文档