文档库 最新最全的文档下载
当前位置:文档库 › Verilog复习题

Verilog复习题

Verilog复习题
Verilog复习题

Verilog复习题

一、填空题

1. 用EDA技术进行电子系统设计的目标是最终完成

2. 可编程器件分为CPLD和FPGA。

3. 随着EDA技术的不断完善与成熟,自顶向下的设计方法更多的被应用于Verilog HDL

设计当中。

4. 目前国际上较大的PLD器件制造公司有ALtera和Xilinx公司。

5. 完整的条件语句将产生组合电路,不完整的条件语句将产生时序电路。

6. 阻塞性赋值符号为=,非阻塞性赋值符号为<= 。

7

8、EDA

9.状态机常用状态编码有二进制、格雷码和独热码。

10.V erilog HDL中任务可以调用其他任务和函数。

11.系统函数和任务函数的首字符标志为$,预编译指令首字符标志为#。

12.可编程逻辑器件的优化过程主要是对速度和资源的处理过程。

13、大型数字逻辑电路设计采用的IP核有软IP、固IP和硬IP。

二、选择题

1、已知“a =1b’1; b=3b'001;”那么{a,b}=(C )

(A) 4b'0011 (B) 3b'001 (C) 4b'1001 (D) 3b'101

2、在verilog中,下列语句哪个不是分支语句?( D )

(A) if-else (B) case (C) casez (D) repeat

3、Verilog HDL语言进行电路设计方法有哪几种(8分)

①自上而下的设计方法(Top-Down)

②自下而上的设计方法(Bottom-Up)

③综合设计的方法

4、在verilog语言中,a=4b'1011,那么 &a=(D )

(A) 4b'1011 (B) 4b'1111 (C) 1b'1 (D) 1b'0

5、在verilog语言中整型数据与(C)位寄存器数据在实际意义上是相同的。

(A) 8 (B) 16 (C) 32 (D) 64

6、大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是___C____ 。

A.FPGA全称为复杂可编程逻辑器件;

B.FPGA是基于乘积项结构的可编程逻辑器件;

C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置;

D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。

7. 子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);指出下列哪些方法是面积优化___B___。①流水线设计②资源共享

③逻辑优化④串行化⑤寄存器配平⑥关键路径法

A.①③⑤ B.②③④ C.②⑤⑥ D.①④⑥

8、下列标识符中,_____A_____是不合法的标识符。

A.9moon B.State0 C. Not_Ack_0 D. signall

9、下列语句中,不属于并行语句的是:___D___

A. 过程语句 B.assign语句 C.元件例化语句 D.case语句

6、10、P,Q,R都是4bit的输入矢量,下面哪一种表达形式是正确的5)

1)input P[3:0],Q,R;

2)input P,Q,R[3:0];

3)input P[3:0],Q[3:0],R[3:0];

4)input [3:0] P,[3:0]Q,[0:3]R;

5)input [3:0] P,Q,R;

11、请根据以下两条语句的执行,最后变量A中的值是___①___。

reg [7:0] A;

A=2'hFF;

① 8'b0000_0011 ② 8'h03 ③ 8'b1111_1111 ④ 8'b11111111

三、简答题

1、简要说明仿真时阻塞赋值与非阻塞赋值的区别

非阻塞(non-blocking)赋值方式( b<= a):

b的值被赋成新值a的操作, 并不是立刻完成的,而是在块结束时才完成;块内的多条赋值语句在块结束时同时赋值;硬件有对应的电路。

阻塞(blocking)赋值方式( b = a):

b的值立刻被赋成新值a;完成该赋值语句后才能执行下一句的操作;硬件没有对应的电路,因而综合结果未知。

阻塞赋值是在该语句结束是立即完成赋值操作;非阻塞赋值是在整个过程块结束是才完成赋值操作。

2、简述有限状态机FSM分为哪两类?有何区别?有限状态机的状态编码风格主要有哪三种?

根据内部结构不同可分为摩尔型状态机和米里型状态机两种。摩尔型状态机的输出只由当前状态决定,而次态由输入和现态共同决定;米里型状态机的输出由输入和现态共同决定,而次态也由输入和现态决定。

状态编码主要有三种:连续二进制编码、格雷码和独热码。

3、简述基于数字系统设计流程包括哪些步骤?

包括五个步骤:

⑴、设计输入:将设计的结构和功能通过原理图或硬件描述语言进行设计或编程,进行语法或逻辑检查,通过表示输入完成,否则反复检查直到无任何错误。

⑵、逻辑综合:将较高层的设计描述自动转化为较低层次描述的过程,包括行为综合,逻辑综合和版图综合或结构综合,最后生成电路逻辑网表的过程。

⑶、布局布线:将综合生成的电路网表映射到具体的目标器件中,并产生最终可下载文件的过程。

⑷、仿真:就是按照逻辑功能的算法和仿真库对设计进行模拟,以验证设计并排除错误的过程,包括功能仿真和时序仿真。

⑸、编程配置:将适配后生成的编程文件装入到PLD器件的过程,根据不同器件实现编程或配置。

4、简述Verilog HDL编程语言中函数与任务运用有什么特点?

函数和任务都能独立完成相应电路功能,通过在同一模块中的调用实现相应逻辑电路功能。但它们又有以下不同:

⑴、函数中不能包含时序控制语句,对函数的调用,必须在同一仿真时刻返回。而任务

可以包含时序控制语句,任务的返回时间和调用时间可以不同。

⑵、在函数中不能调用任务,而任务中可以调用其它任务和函数。但在函数中可以调用其它函数或函数自身。

⑶、函数必须包含至少一个端口,且在函数中只能定义input端口。任务可以包含0个或任何多个端口,且可以定义input、output和inout端口。

⑷、函数必须返回一个值,而任务不能返回值,只能通过output 或inout端口来传递执行结果。

5、简述FPGA与CPLD两种器件应用特点。

CPLD与FPGA都是通用可编程逻辑器件,均可在EDA仿真平台上进行数字逻辑电路设计,它们不同体现在以下几方面:

⑴FPGA集成度和复杂度高于CPLD,所以FPGA可实现复杂逻辑电路设计,而CPLD 适合简单和低成本的逻辑电路设计。

⑵、FPGA内主要由LUT和寄存器组成,倾向实现复杂时序逻辑电路设计,而CPLD 内主要由乘积项逻辑组成,倾向实现组合逻辑电路设计。

⑶、FPGA工艺多为SRAM、flash等工艺,掉电后内信息消失,所以该类型需外配存储器,而CPLD工艺多为EEPROM等工艺,掉电后信息不消失,所以不用外配存储器。

⑷、FPGA相对CPLD成本高,但都可以在内都镶嵌硬核和软核,实现片上系统功能。

四、计算题

1.利用有限状态机,以格雷码编译方式设计一个从输出信号序列中检测出101信号的电

路图,其方块图、状态图和状态表如图表示。

module melay(clk,Din,reset,Qout);

input clk,reset;

input Din;

output Qout;

reg Qout;

parameter[1:0]

S0=2'b00,S1=2'b01,S2=2'b11; reg[1:0] CS;

reg[1:0] NS;

always @(posedge clk or posedge reset)

begin

if(reset==1'b01)

CS=S0;

else CS=NS;

end

always @(CS or Din)

begin

case(CS)

S0:beign

if(Din==1'b0)

begin

NS=S0;

Qout=1'b0;

end

else

begin

NS=S1;

Qout=1'b0;

end

end

S1:begin

if(Din==1'b0)

begin

NS=S2;

Qout=1'b0;

end

else

begin

NS=S1;

Qout=1'b0;

end

end

S2:beign

if(Din==1'b0)

begin

NS=S0;

Qout=1'b0;

end

else

begin

NS=S1;

Qout=1'b0;

end

end

endcase

end

Endmodule

2.设计一个带有异步复位控制端和时钟使能控制端的10进制计数器。端口设定如下:输入端口:CLK:

时钟,RST:复位端,EN:时钟使能端,LOAD:置位控制端, DIN:置位数据端;输出端口:COUT:进位输出端,DOUT:计数输出端。

module cnt10 (clk,rst,en,loat,cout,dout,data);

input clk ;

input en ;

input rst ;

input load ;

input [3:0] data ;

output [3:0] dout ;

output cout ;

reg [3:0] q1 ;

reg cout ;

assign dout = q1;

always @(posedge clk or negedge rst) begin

if (!rst) q1 <= 0;

else if (en)

begin

if (!loat) q1 <= data;

else if (q1<9) q1 <= q1+1;

else q1 <= 4'b0000;

end

end

always @(q1)

if (q1==4'h9) cout = 1'b1;

else cout = 1'b0;

endmodule

3.下面是通过case语句实现四选一电路部分程序,将横线上的语句补上,使程序形成完

整功能。

Module mux4_to_1(out,i0,i1,i2,i3,s1,s0)

output out;

input i0,i1,i2,i3;

input s1,s0;

reg out

always @(s1 or s0 or i0 or i1 or i2 or i3)

case({s1,s0})

2’b00:out=i0;

2’b01:out=i1;

2’b10:out=i2;

2’b11:out=i3;

Default:$display(‘Invalid control signals’);

endcase

Endmodule

标注各语句功能,指出整个程序完成的电路功能。

// 带同步清0同步置1(低电平有效)的D触发器.

module dff_syn(q,qn,d,clk,set,reset); //定义模块为diff_syn, 端口为q,qn,d,clk,set,reset input d,clk,set,reset; output reg q,qn; //定义端口d,clk,set,reset为输入端口,reg,q,qn为输

//出端口

always @(posedge clk) //对clk信号上升沿有效

begin

if(~reset) begin q<=1'b0;qn<=1'b1;end //同步清零,低电平有效

else if(~set) begin q<=1'b1;qn<=1'b0;end //同步置位, 低电平有效else begin q<=d; qn<=~d;end //q输出为d, qn输出为非d; end

endmodule //模块结束

4.根据图3给定的两个2位全加器信号关系及实现的4位全加器功能部分程序,在下列

部分程序中的横线上填入必要语句,实现4位全加器的完整功能。

5. 根据下列给定的仿真输入输出波形图2,说明完成此功能的电路是什么功能电路?并写

出对应的Verilog HDL 描述程序(图中clk,clr 为输入,q,c 为输出)。 4进制加法计数器

module counter(clk,clr,q,c)

input clk,clr; output ret[1:0] q; output c;

always@(posedge clk or negedge clr) begin

if(~clr) q<=2’h0; else begin

if(2’h3==q) q<=2’h0; else q<=q+2’h1; end end

assign c=(2’h3==q) Endmodule

6. 采用结构描述方法设计一个二进制数字半加器,输入数据ai 与bi ,并将和输出到so ,

进位输出到co ,给出详细设计过程。

aibi

co bi ai bi ai bi ai so =⊕=+=,

由输入输出逻辑表达式,采用与门and和异或门xor进行结构描述的程序如下:(6分)module hadd (ai,bi,so,co);

input ai,bi;

output so,co;

xor(so,si,ci);

and(co,ai,bi);

Endmodule

7.采用结构描述方法设计一个二进制数字比较器,比较输入数据a与b的大小,并分别

输出到x,y和z,给出详细设计过程。

=

x=

ab

,

+

=,

z

ab

b

a

a

y

not(not_a,a);

not(not_b,b);

and(ab,a,b);

and(not_ab,not_a,not_b);

or(x,ab,not_ab);

and(y,not_a,b);

and(z,a,not_b);

8.采用结构描述方法设计一个3人竞选数字电路,输入数据[2:0]x,要求2人以上为1表

示通过,且输出为y为1,否则输出相反,给出详细设计过程。

module three1(x,y);

input [2:0] x;

output y;

y=a&b+a&c+b&c=ab+ac+bc;

wire a,b,c;

and(a,x[0],x[1]);

and(b,x[1],x[2]);

and(c,x[1],x[0]);

or(y,a,b,c) ;

endmodule

Verilog期末复习

Verilog期末总复习 考试时间: ?2010年12月15日星期三上午8:00—9:35随堂考 考试内容: ?凡是课堂讲过的内容都有可能考 ?重点是对基本语法的掌握能力和实际应用能力 ?本课件不规划考试大纲,切勿仅仅据此复习 试题类型: ?填空40% ?简答读代码画波形等40% ?综合写代码题20% 复习内容(一) 第一章 ? 1.1绪论,硬件描述语言VerilogHDL的发展和特点 ? 1.2 超大规模集成电路设计流程 第二章简单的Verilog HDL模块和测试 第三章 ? 3.1数据类型及变量常量 ? 3.2运算符和表达式 ? 3.3数据流建模 ? 3.4 门级结构描述 ? 3.5行为建模和块语句 ? 3.6过程性赋值语句 ? 3.7条件语句和循环语句 ? 3.8系统任务和函数 ? 3.9结构建模、编译预处理及用户定义原语 第四章有限状态机和可综合风格的VerilogHDL ? 4.1 有限状态机建模(一) ? 4.2 采用有限状态机高级建模(二) ? 4.3编码规范和可综合代码 第五章可重用设计、可综合设计

Verilog HDL的应用 ?系统级(system): 用高级语言结构实现设计模块的外部性能的模 ?算法级(algorithmic): 用高级语言结构实现设计算法的模型。 ?RTL级(Register Transfer Level): 描述数据在寄存器之间流动和如何处理这些数据的模型。 ?门级(gate-level): 描述逻辑门以及逻辑门之间的连接的模型。 ?开关级(switch-level): 描述器件中三极管和储存节点以及它们之间连接的模型。 合法和非法标识符 合法的:非法的: shift_reg_a 34net bus263 2_m_pmos //不能用数字开头 \32100 a*b_net //不能含有非字母符号* _a_bus n@263 //不能含有非字母符号@ \initial Verilog 是大小写敏感的。所谓标识别符就是用户为程序描述中的Verilog 对象所起的名字。 标识符必须以英语字母(a-z, A-Z)起头,或者用下横线符(_ )起头。其中可以包含数字、$符和下横线符。 特别标识符是用“\”符开始,以空格符结束的标识符。它可以包含任何可打印的ASCII字符。 例如 以下不正确的标识符名称是 ?Sad_66 ?\32100 ?_a_bus ?\initial ?/data_out ?Module ?2_m_pmos 存储器memory型变量 存储器memory型 用一个寄存器数组来对存储器建模。 格式: reg [msb:lsb] 存储器名[upper1:lower1]; 如: reg [3:0] MyMem [63:0]; // 64个四位寄存器组 如果要声明一个存储器变量,存储单元大小为8位,一共2048个存储单元,存储单元名称为mem,代码描述为: ?reg [7:0] mem[2047:0] 举例说明数据类型的选择

Verilog期末复习题

Verilog 复习题 一、填空题 1.用EDA技术进行电子系统设计的目标是最终完成ASIC的设计与实现。 2.可编程器件分为CPLD和FPGA。 3 . 随着EDA技术的不断完善与成熟,自顶向下的设计方法更多的被应用于VerilogHDL 设计当中。 4 . 目前国际上较大的PLD器件制造公司有ALtera和Xilinx公司。 5.完整的条件语句将产生组合电路,不完整的条件语句将产生时序电路。 6.阻塞性赋值符号为=,非阻塞性赋值符号为<=。 7.有限状态机分为Moore和Mealy两种类型。 8、EDA缩写的含义为电子设计自动化(ElectronicDesignAutomation) 9.状态机常用状态编码有二进制、格雷码和独热码。 10.VerilogHDL 中任务可以调用其他任务和函数。 11.系统函数和任务函数的首字符标志为$ ,预编译指令首字符标志 为 12.可编程逻辑器件的优化过程主要是对速度和资源的处理过程。 13、大型数字逻辑电路设计采用的IP核有软IP、固IP和硬IP。 # 。 二、选择题 1、已知“a=1b’1;b=3b'001;”那么{a,b}=( C ) (A)4b'0011(B)3b'001(C)4b'1001(D)3b'101 2、在verilog 中,下列语句哪个不是分支语句? ( D ) (A)if-else(B)case(C)casez(D)repeat 3、VerilogHDL 语言进行电路设计方法有哪几种 ( ①自上而下的设计方法( Top-Down) ②自下而上的设计方法( Bottom-Up) 8分) ③综合设计的方法 4、在verilog语言中,a=4b'1011,那 么 &a=(D ) (A)4b'1011(B)4b'1111(C)1b'1(D)1b'0 5、在verilog语言中整型数据与(C)位寄存器数据在实际意义上是相同的。 (A)8(B)16(C)32(D)64 6、大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是___C____。 A.FPGA全称为复杂可编程逻辑器件; B.FPGA是基于乘积项结构的可编程逻辑器件; C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。 7.子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);指出下列哪些方法是面积优化___B______。 ①流水线设计 ②资源共享 ③逻辑优化

Verilog试题 A答案

北京航空航天大学 2011 ~2012 学年第二学期 数字EDA 期末考试试卷 ( 2012 年 5 月 23 日) 班级:__________;学号:______________;姓名:__________________;成绩:___________ 注意事项:1、填空题与选择题直接在试题上作答 2、设计题在答题纸上作答 正题: 一、填空题(共30分,每道题3分) 1. 写出表达式以实现对应电路的逻辑功能。 F 2. 根据图中输入输出关系将Verilog 模块定义补充完整,其中信号A 为5比特宽度,其余信号为1比特宽度。 A 宽 3. IEEE 标准的硬件描述语言是 verilog HDL 和 VHDL 。 4. 你所知道的可编程逻辑器件有(至少两种): FPGA, CPLD, GAL, PAL (任写其二) 。 5. 假定某4比特位宽的变量a 的值为4’b1011,计算下列运算表达式的结果 6. Verilog 语言规定了逻辑电路中信号的4种状态,分别是0,1,X 和Z 。其中0表示低电平状态,1表示高电平状态,X 表示 不定态(或未知状态) ,Z 表示 高阻态 。 assign F= E ^ ( (A&B) | (!(C&D))) module tblock( A,B,C ) ; output [4:0] A; input B; inout C; …… //省略了功能描述 endmodule //模块结束 &a = 1’b0 ~a = 4’b0100 {3{a}} = 12’b101110111011 {a[2:0],a[3]} = 4’b0111 (a<4’d3) || (a>=a) = 1’b1 !a = 1’b0

数字系统设计与verilog_HDL_王金明_第四版__EDA期末知识点复习(宁波工程学院电科版)

1、采用硬件描述语言(HDL)进行电路设计的优势。 1)更适合用于描述规模大、功能复杂的数字系统 2)语言标准化、便于设计的复用、交流、保存和修改 3)设计与工艺的无关性,宽范围的描述能力,便于组织大规模、模块化的设计 2、Verilog模块的结构 模块声明:包括模块名字、模块输入、输出端口列表,结束关键字为endmodule 端口定义:格式为:input: 端口名1,端口名2…端口名n; output: 端口名1,端口名2…端口名n; inout: 端口名1,端口名2…端口名n; 3、标识符是用户在编程时给verilog对象起的名字,模块、端口和实例的名字都是标识符。标识符可以是任意一组字母、数字以及符号“$”和“_”的组合,但标识符的第一个字符必须是字母(a-z,A-Z)或者是下划线“_”,标识符最长可包含1023个字符,此外,标识符区分大小写。 4、整数写法:+/- 1、在较长的数之间可用下划线分开 2、当数字不说明位宽时,默认值为32位 3、X或(z)在二进制中代表1位x(或z),在八进制中代表3位,在16进制中代表4位 4、如果没有定义一个整数的位宽,其宽度为相应值中定义的位数。 5、如果定义的位宽比实际的位数长,通常在左边填0补位,但如果最左边一位为x或z,就相应的用x或z左边补位。 6、“?”是高阻态z的另一种表示符号,在数字的表示中,字符“?”和z是完全等价的,可相互代替。 7、整数可以带符号,并且正负号应写在最左边,负数通常表示为二进制补码的形式。 8、当位宽与进制缺省时表示的是10进制数 9、在位宽和‘之间,以及进制和数值之间允许出现空格,但’和进制之间以及数值之间是不能出现空格的。 要求掌握整数正确的书写方式。 5、向量:宽度大于1位的变量; 标量:宽度为1位的变量。 定义2个8位reg型矢量:reg [7:0] ra, rb; 6、运算符 (1)注意:逻辑运算符,例如逻辑与&&、逻辑或||、逻辑非!,运算结果是1位的。如果操作数不止1位的话,则应将操作数作为一个整体来对待,即如果操作数全是0,则相当于逻辑0,但只要某一位是1,则操作数就应该整体看作逻辑1。 例如:若A = 4’b0000, B = 4’b0101,则有: A && B = 0; (2)位拼接运算符:{ }将两个或多个信号的某些位拼接起来 7、initial语句和always语句的区别:initial语句常用于仿真中的初始化,initial过程块中的语句只执行一次,不带触发条件;always块内的语句则是不断重复执行的,Always过程语句是可综合的,带有触发条件。 8、posedge:上升negedge:下降 弄清楚同步和异步的概念。 例如:如果Nreset和Nset是异步,时钟clock上升沿触发。

Verilog期末复习题[优质文档]

Verilog复习题 一、填空题 1. 用EDA技术进行电子系统设计的目标是最终完成 2. 可编程器件分为CPLD和FPGA。 3. 随着EDA技术的不断完善与成熟,自顶向下的设计方法更多的被应用于Verilog HDL 设计当中。 4. 目前国际上较大的PLD器件制造公司有ALtera和Xilinx公司。 5. 完整的条件语句将产生组合电路,不完整的条件语句将产生时序电路。 6. 阻塞性赋值符号为=,非阻塞性赋值符号为<= 。 7.有限状态机分为Moore和Mealy两种类型。 8、EDA 9.状态机常用状态编码有二进制、格雷码和独热码。 10.V erilog HDL中任务可以调用其他任务和函数。 11.系统函数和任务函数的首字符标志为$,预编译指令首字符标志为#。 12.可编程逻辑器件的优化过程主要是对速度和资源的处理过程。 13、大型数字逻辑电路设计采用的IP核有软IP、固IP和硬IP。 二、选择题 1、已知“a =1b’1; b=3b'001;”那么{a,b}=(C ) (A) 4b'0011 (B) 3b'001 (C) 4b'1001 (D) 3b'101 2、在verilog中,下列语句哪个不是分支语句?( D ) (A) if-else (B) case (C) casez (D) repeat 3、Verilog HDL语言进行电路设计方法有哪几种(8分) ①自上而下的设计方法(Top-Down) ②自下而上的设计方法(Bottom-Up) ③综合设计的方法 4、在verilog语言中,a=4b'1011,那么 &a=(D ) (A) 4b'1011 (B) 4b'1111 (C) 1b'1 (D) 1b'0 5、在verilog语言中整型数据与(C)位寄存器数据在实际意义上是相同的。 (A) 8 (B) 16 (C) 32 (D) 64 6、大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是___C____ 。 A.FPGA全称为复杂可编程逻辑器件; B.FPGA是基于乘积项结构的可编程逻辑器件; C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。 7. 子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);指出下列哪些方法是面积优化___B______。 ①流水线设计 ②资源共享 ③逻辑优化 ④串行化 ⑤寄存器配平

VerilogHDL期末复习

文件扩展名为v,大小写敏感;所有关键字必须小写; 标识符(区分大小写) 合法字符:26个大小写英文字母;数字0-9;下划线;$等; 命名规则:以英文字母或下划线开头;不能出现两条(或以上)连续的下划线;不能和关键字重复。 数据对象: 1、常数:整数、实数和字符串。整数可综合,实数和字符串不可综合。 (1)整数:<位宽>’<进制符号><数字> 位宽:数字对应的二进制数的位数; 进制符号包括:b或B(二进制),d或D(十进制),o或O(八进制),h或H(十六进制)。 数字中间可用下划线分隔,提高可读性。 十进制数的位宽和进制符号可省略。 若只标进制,未注明位宽,则位宽为(单个位的该进制数对应二进制位宽*该进制数的位数)。 若指明的位宽比数字需要的位宽小,则从左边(高位)截去多余位。 除十进制外,数字中可用x或X(未知),z或Z(高

阻)。位数由所在数字格式决定。如'hfx等价于'b1111xxxx 整数可在其前面加负号,负数的实际值为其对应的二进制补码。 (2)实数 (3)字符串 2、变量 (1)网络型(net type) net型变量的输出值始终根据输入变化而变化,一般用来定义硬件电路中的各种连线。 wire型变量是net型变量中最常用的一种,其取值可以是0、1、x或z。 wire、tri:连线类型,功能完全相同;tri名称仅为增加程序可读性,表示综合后电路有三态功能。 wor、trior:具有线或特性的连线,功能相同。 wand、triand:具有线与特性的连线,功能相同。 tri1、tri0:分别为上拉电阻和下拉电阻。 supply1、supply0:分别为电源(逻辑1)和地(逻辑0)。 (2)寄存器型(register type) reg:常用的寄存器型变量。(可综合) integer:32位带符号整数型变量。(不同资料中可

EDA-VerilogHDL期末必考试题

一、填空题(10分,每小题1分) 1.用EDA技术进行电子系统设计的目标是最终完成 ASIC 的设 计与实现。 2.可编程器件分为FPGA 和 CPLD 。 3.随着EDA技术的不断完善与成熟,自顶向下的设计方法更多的被应用于Verilog HDL 设计当中。 4.目前国际上较大的PLD器件制造公司有 Altera 和 Xilinx 公司。 5.完整的条件语句将产生组合电路,不完整的条件语句将产生时序 电路。 6.阻塞性赋值符号为=,非阻塞性赋值符号为 <= 。 二、选择题(10分,每小题2分) 1.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述 中,正确的是 C 。 A.FPGA全称为复杂可编程逻辑器件; B.FPGA是基于乘积项结构的可编程逻辑器件; C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。 2.基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→综合 →_____→→适配→编程下载→硬件测试。正确的是 B 。 ①功能仿真②时序仿真③逻辑综合④配置⑤分配管脚 A.③①B.①⑤C.④⑤D.④② 3.子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行 速度(即速度优化);指出下列哪些方法是面积优化 B 。

①流水线设计②资源共享③逻辑优化④串行化⑤寄存器配平⑥关键路径法 A.①③⑤B.②③④C.②⑤⑥D.①④⑥ 4.下列标识符中,____A______是不合法的标识符。 A.9moon B.State0 C.Not_Ack_0 D.signall 5.下列语句中,不属于并行语句的是:___D____ A.过程语句B.assign语句C.元件例化语句D.case语句 三、EDA名词解释(10分) 写出下列缩写的中文含义: ASIC:RTL: FPGA:SOPC: CPLD:LPM: EDA:IEEE: IP:ISP: 四、简答题(10分) 1.简要说明仿真时阻塞赋值与非阻塞赋值的区别(本题4分)。 2.简述有限状态机FSM分为哪两类?有何区别?有限状态机的状态编码风格主要有 哪三种?FSM的三段式描述风格中,三段分别描述什么?(本题6分) 五、程序注解(20分,每空1分) module AAA ( a ,b ); output a ; input [6:0] b ; reg[2:0] sum; integer i; reg a ;

(完整word版)EDA-VerilogHDL期末复习题总结必过

选择题 1.大规模可编程器件主要有FPGA、CPLD 两类,下列对FPGA 结构与工作原理的描述中,正确的是(C)。 A.FPGA 全称为复杂可编程逻辑器件; B.FPGA 是基于乘积项结构的可编程逻辑器件; C.基于SRAM 的FPGA 器件,在每次上电后必须进行一次配置; D.在Altera 公司生产的器件中,MAX7000 系列属FPGA 结构。 2.不完整的IF语句,其综合结果可实现(A) A. 时序逻辑电路 B.组合逻辑电 C. 双向电路 D. 三态控制电路 3.综合是EDA设计流程的关键步骤,在下面对综合的描述中,(D)是错误的。 A.综合就是把抽象设计层次中的一种表示转化成另一种表示的过程; B.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件; C.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束; D.综合可理解为一种映射过程,并且这种映射关系是唯一的,即综合结果是唯一的。 4.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中, 正确的是( C )。 A.FPGA全称为复杂可编程逻辑器件; B.FPGA是基于乘积项结构的可编程逻辑器件; C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。 5.以下关于状态机的描述中正确的是(B) A.Moore型状态机其输出是当前状态和所有输入的函数 B.与Moore型状态机相比,Mealy型的输出变化要领先一个时钟周期 C.Mealy型状态机其输出是当前状态的函数 D.以上都不对

cad期末考试试题及答案

AutoCAD试卷 一、单项选择 1、AutoCAD默认扩展名是 A、dwt B、dwg C、bak D、dxf答案:B 2、在CAD中,以下哪个命令可用来绘制横平竖直的直线 A、栅格 B、捕捉 C、正交 D、对象捕捉答案:C 3、按哪个键可切换文本窗口和绘图窗口 A、F2 B、F8 C、F3 D、F5答案:A 4、默认情况下,命令提示行显示为几行 A、3 B、5 C、2 D、8答案:A 5、在CAD中为一条直线制作平行线用什么命令 A、移动 B、镜像 C、偏移 D、旋转答案:C 6、在图层特性管理器中不可以设定哪项 A、颜色 B、页面设置 C、线宽 D、是否打印答案:B 7、绘制建筑图步骤为 A、墙线、轴线、门窗 B、墙线、门窗、轴线 C、轴线、门窗、墙线 D、轴线、墙线、门窗答案:D 8、哪个命令可用于绘制直线与圆弧的复合体 A、圆弧 B、构造线 C、多段线 D、样条曲线答案:C 9、如何在图中输入“直径”符号 A、%%P B、%%C C、%%D D、%%U答案:B 10、如果要在一个圆的圆心写一个“A”字,应使用以下哪种对正方式 A、中间 B、对齐 C、中心 D、调整答案:A 11、在哪个层创建的块可在插入时与当前层特性一致 A、0层 B、在所有自动产生的层 C、所有图层 D、新建的图层答案:A 12、一个完整的尺寸由几部分组成 A、尺寸线、文本、箭头 B、尺寸线、尺寸界线、文本、标记 C、基线、尺寸界线、文本、箭头 D、尺寸线、尺寸界线、文本、箭头 答案:D 13、要将图形中的所有尺寸都为原有尺寸的2倍,应设定以下哪项 A、文字高度 B、使用全局比例 C、测量单位比例 D、换算单位 答案:B 14、三维模型中哪种模型可以进行布尔运算 A、线框模型 B、实心体模型 C、表面体模型答案:B 15、渲染三维模型时,哪种类型可以渲染出物体的所有效果 A、一般渲染 B、普通渲染 C、照片级真实感渲染 D、照片级光线跟踪渲染答案:D 16、样板文件的括展名是 A、BAK B、SVS C、DWT D、DWG答案:C 17、以下哪种相对坐标的输入方法是画8个单位的线长 A.8, 0 B.@0,8 C.@0<8 答案:B 18、哪种坐标输入法需要用@符号?A.极坐标 B.绝对坐标 C.相对坐标答案:C 19.在命令行中输入“Z”后,再输入选项“A”,什么作用 A.在图形窗口显示所有的图形对象和绘图界限范围。 B.恢复前一个视图 C.显示所有在绘图界限范围内的图形对象 D.显示绘图界限范围答案:A 20.下面哪个命令可将块打散生成图形文件 A、另存为 B、分解 C、重生成 D、插入块答案:B

verilog期末大作业

深圳大学实验报告 课程名称:Verilog HDL及其应用 实验项目名称:波形选择器 学院:电子科学与技术学院 专业:微电子学 指导教师:刘春平 报告人:温志煌学号:2012160228 班级:微电二班实验时间: 实验报告提交时间:

波形选择器 一、实验目的 (1)熟悉Modelsim软件 (2)掌握Modelsim软件的编译、仿真方法 (3)熟练运用Modelsim 软件进行Verilog程序设计开发 二、实验内容及要求 实验原理:在同一个波形里面分别取八个点,用这个八个点的数据还原出对应的波形来,在Verilog中通过建立一个函数分别取这些点数据,然后再通过调用函数得到相对应的波形。 1.实验要求 用Verilog语言设计以下电路,分别产生四种波形:正弦波、方波、三角波1及三角波2。实验要求先在QuartusII里面编译仿真程序,然后再在Modelsim里面仿真产生这四种波形。程序仿真结果示意图如图1所示。 图1.四种波形产生及选择器示意图 2.实验步骤

2.1在QuartusII里的操作步骤: 1)建立一个waveshaper的工程文件 2)以Verilog语言书写waveshaper的源程序 3)编译waveshaper源程序 4)最后再仿真一下这个程序,得到与程序相吻合的数据具体如图所示: 图2. Waveshaper源程序 图3. Waveshaper编译成功

图4. Waveshaper 仿真结果 2.2在Modelsim里的操作步骤: 1)建立waveshaper工程 2)添加两个文件waveshaper跟waveshaper_tp到工程3)分别编译这两个文件 4)在work里面查看编译后的设计单元 5)将信号加入波形窗口 6)运行仿真 其源程序如下: module waveshaper(q,cp,n); output [7:0] q; input cp; input [1:0] n; reg [7:0] q; reg [2:0] Q=3'd0; wire cp,cr; always @ (negedge cp ) begin if(cr) Q<=3'd0; else Q<=Q+3'd1; end always @(Q or n) begin case (n) 0: q=romout({n,Q}); 1: q=romout({n,Q});

EDA期末考试试题

第一部分:填空题 1.一般把EDA技术的发展分为CAD、CAE和EDA三个阶段,并向着ESDA方向发展。 2.EDA技术在应用设计领域主要包含哪四个方面的内容(1)HDL (2)PLD (3)EDA工具软件(4)EDA开发系统。 3.EDA技术的基本特征(1)自顶向下的设计方法;(2)采用硬件描述语言;(3)高层综合和优化;(4)并行工程;(5)开放性和标准化。 4.当前最流行的并成为IEEE标准的硬件描语言是V HDL和Verilog-HDL。 5.什么是PLD? 答: PLD,Programmable-Logic-Device,即可编程逻辑器件。是一种具有内建结构、由用户编程以实现某种逻辑功能的新型逻辑器件。 6.SPLD的基本结构框图是什么? 7.一般CPLD器件至少包含可编程逻辑宏单元,可编程I/O单元和可编程内部连线3种基本结构。 一般FPGA器件至少包含可编程逻辑功能块/CLB、IOB/可编程I/O块和PI/可编程内部互连三类可编程资源。 8.用PROM完成半加器/全加器的示意图。 9.使用方框图示意出采用硬件描述语言设计硬件电路进行由上而下的设计的三个层次为: 10.可编程逻辑器件的发展趋势在哪5个方面(1)向更大规模、更高集成度的片上系统方向发展(2)向低电压、低功耗的绿色器件方向发展(3)向更高速可预测延时的方向发展(4)向在PLD内嵌入多种功能模块的方向发展(5)向模数混合可编程的方向发展11.目前,在PLD器件制造与生产领域的三大公司为Altera、Xilinx和Lattice 12.FPGA的发明者是Xilinx公司;ISP编程技术的发明者是Lattice公司。 13、目前常见的可编程逻辑器件的编程和配置工艺包括基于E2PROM/Flash技术、基于 SRAM查找表的编程单元和基于反熔丝编程单元。 14、基于EPROM、E2PROM和快闪存储(flash)器件的可编程器件,在系统断电后编程信 息不丢失 15、采用SRAM结构的的可编程器件,在系统断电后编程信息丢失 16、V erilog-HDL于1983年推出,是在C语言的基础上演化而来的。 于1995年正式采纳为IEEE标准,其代号为Verilog-HDL1634-1995。 17、一个基本的Verilog-HDL程序由模块构成。 18、一个完整的Verilog-HDL设计模块包括:模块关键字和模块名、端口列表、端口定义、 和功能描述4部分。

EDA-VerilogHDL期末复习题总结必过

选择题 1. 大规模可编程器件主要有FPGA、CPLD 两类,下列对FPGA 结构与工作原理的描述中,正确的是( C )。 A.FPGA 全称为复杂可编程逻辑器件; B.FPGA 是基于乘积项结构的可编程逻辑器件; C.基于SRAM 的FPGA 器件,在每次上电后必须进行一次配置;D.在Altera 公司生产的器件中,MAX7000 系列属FPGA 结构。 2. 不完整的IF 语句,其综合结果可实现( A ) A. 时序逻辑电路 B.组合逻辑电 C. 双向电路 D. 三态控制电路 3. 综合是EDA 设计流程的关键步骤,在下面对综合的描述中,( D )是错误的。 A. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程; B. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件; C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束; D. 综合可理解为一种映射过程,并且这种映射关系是唯一的,即综合结果是唯一的。 4. 大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述 中,正确的是( C )。 A. FPGA全称为复杂可编程逻辑器件; B. FPGA是基于乘积项结构的可编程逻辑器件; C. 基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D. 在Altera 公司生产的器件中,MAX7000 系列属FPGA 结构。 5. 以下关于状态机的描述中正确的是( B ) A.Moore 型状态机其输出是当前状态和所有输入的函数 B.与Moore 型状态机相比,Mealy 型的输出变化要领先一个时钟周期C.Mealy 型状态机其输出是当前状态的函数 D.以上都不对

Verilog期末实验报告―波形发生器

Verilog期末实验报告―波形发生器深圳大学实验报告 课程名称: Verilog使用及其应用 实验名称: 频率可变的任意波形发生器学院: 电子科学与技术学院 专业:电子科学与技术班级: 2 组号: 指导教师: 刘春平报告人: 陈昊学号: 2007160162 实验地点科技楼B115 一、实验目的 应用Verilog进行编写四种波形发生的程序,并结合DE2板与DVCC实验板上的D/A转换器在示波器显示出波形。初步了解Verilog的编程及DE2板的应用,加强对其的实际应用操作能力。 二、实验原理 实验程序分为三部分: 第一、通过计数器实现内置信号分频,并通过外置开关调节频率来控制输出波形的频率。第二、设定ROM中的数值,将波形数据存储到ROM中。 第三、设定波形选择开关。 总体设计方案及其原理说明:

FPGA 图 1-1 系统总体设计方案 DDS是一种把数字信号通过数/模转换器转换成模拟信号的合成技术。它由相位累加器、相幅转换函数表、D/A转换器以及内部时序控制产生器等电路组成。参 考频率f_clk为整个合成器的工作频率,输入的频率字保存在频率寄存器中,经,位相位累加器,累加一次,相位步进增加,经过内部ROM波形表得到相应的幅度值,经过D/A转换和低通滤波器得到合成的波形。p为频率字,即相位增量;参考频率为,_clk;相位累加器的长度为,位,输出频率,_out为: f_out——输出信号的频率; N————相位累加器的位数; p———频率控制字(步长); f_clk——基准时钟频率。

图1-2 四种波形单周期的取样示意图段地址基地址 D7 D6 D5 D4 D3 D2 D1 D0 00 000 10 00 001 17 00 010 15 00 011 17 00 100 10 00 101 3 00 110 5 00 111 3 01 000 3 01 001 3 01 010 3 01 011 3 01 100 1 01 101 1 01 110 1 01 111 1 10 000 0 10 001 5

Verilog期末实验报告—波形发生器

一、实验目的 使用Verilog 软件编写四种波形任意发生器的源代码,用modelsim 软件进行仿真测试,进一步强化Verilog ,modelsim 软件的编程能力为进一步的编程学习打下良好的基础。 二、实验原理 2.1该任意波形发生器要实现三个功能: (1)通过计数器并结合拼接操作产生四种波形正弦波,方波,三角波1,三角波形的5位数据地址。 (2).设定ROM 中对应波形地址地址的8位数值,将所有波形数值存储到ROM 中。 (3).设定2位的波形选择开关端口。 图 1整体设计方案 2.2 四种波形要在一个周期内等间隔取8个点,定义对应的数据,下图为示意图,由于编程序需要,数据会进行相应的修改。 图2 四种波形一个周期内的取样示意图

2.3 四种波形数据地址对应的数据的存储器ROM 根据示意图,由于实际情况需要,将正弦波平移至x轴以上,并将所有波形的峰峰值取大100倍。 下表1是ROM存储器

三、实验内容 3.1 任意波形发生器verilog程序代码: module wave(data,clk,add1,reset);//顶层模块端口定义 output[7:0]data; input clk,reset; input[1:0] addr1; Wire[1:0] addr1; wire clk,reset;//输入输出变量定义 ADDR 4(addr,clk,addr1,reset);//地址发生器模块调用 rom 1(addr,data);//ROM存储器模块调用 endmodule module ADDR(addr,clk,addr1,reset); output[4:0] addr; input clk,reset; wire clk,reset; reg[2:0] addr2; wire[1:0] addr1; reg[4:0] addr; initial addr2=3'b000; //定义计数初值 always @(posedge clk or posedge reset)//每当有clk,或reset信号开启程序 begin if(reset) begin addr2<=0;//同步复位 addr<=0; end else if(addr2>=7)//addr2计数至7时,addr2复位 begin addr2<=0; end else begin addr2<=addr2+1;//addr2由0至7计数 addr<={addr1,addr2};//addr1与addr2地址拼接为addr的最终地址 end end endmodule module rom(addr,data);//数据存储器模块 input[4:0] addr; output[7:0] data;

数字系统设计与verilog_HDL_王金明_第四版__EDA期末知识点复习(宁波工程学院电科版)-推荐下载

1、采用硬件描述语言(HDL)进行电路设计的优势。 1)更适合用于描述规模大、功能复杂的数字系统 2)语言标准化、便于设计的复用、交流、保存和修改 3)设计与工艺的无关性,宽范围的描述能力,便于组织大规模、模块化的设计2、Verilog 模块的结构 模块声明:包括模块名字、模块输入、输出端口列表,结束关键字为endmodule 端口定义:格式为:input: 端口名1,端口名2…端口名n ; output: 端口名1,端口名2…端口名n ; inout: 端口名1,端口名2…端口名n ; 3、标识符是用户在编程时给verilog 对象起的名字,模块、端口和实例的名字都是标识符。标识符可以是任意一组字母、数字以及符号“$”和“_”的组合,但标识符的第一个字符必须是字母(a-z ,A-Z )或者是下划线“_”,标识符最长可包含1023个字符,此外,标识符区分大小写。 4、整数写法:+/-1、在较长的数之间可用下划线分开 2、当数字不说明位宽时,默认值为32位 3、X 或(z )在二进制中代表1位x (或z ),在八进制中代表3位,在16进制中代表4位 4、如果没有定义一个整数的位宽,其宽度为相应值中定义的位数。 5、如果定义的位宽比实际的位数长,通常在左边填0补位,但如果最左边一位为x 或z ,就相应的用x 或z 左边补位。 6、“?”是高阻态z 的另一种表示符号,在数字的表示中,字符“?”和z 是完全等价的,可相互代替。 7、整数可以带符号,并且正负号应写在最左边,负数通常表示为二进制 补码的形式。8、当位宽与进制缺省时表示的是10进制数 9、在位宽和‘之间,以及进制和数值之间允许出现空格,但’和进制之间以及数值之间是不能出现空格的。 要求掌握整数正确的书写方式。5、向量:宽度大于1位的变量;标量:宽度为1位的变量。 定义2个8位reg 型矢量:reg [7:0] ra, rb; 6、运算符 (1)注意:逻辑运算符,例如逻辑与&&、逻辑或||、逻辑非!,运算结果是1位的。如果操作数不止1位的话,则应将操作数作为一个整体来对待,即如果操作数全是0,则相当于逻辑0,但只要某一位是1,则操作数就应该整体看作逻辑1。例如:若A = 4’b0000, B = 4’b0101,则有:A && B = 0; (2)位拼接运算符:{ }将两个或多个信号的某些位拼接起来 7、initial 语句和always 语句的区别:initial 语句常用于仿真中的初始化,initial 过程块中的语句只执行一次,不带触发条件;always 块内的语句则是不断重复执行的,Always 过程语句是可综合的,带有触发条件。8、posedge:上升negedge:下降弄清楚同步和异步的概念。 例如:如果Nreset 和Nset 是异步,时钟clock 上升沿触发。 、管路敷设技术通过管线敷设技术不仅可以解决吊顶层配置不规范高中资料试卷问题,而且可保障各类管路习题到位。在管路敷设过程中,要加强看护关于管路高中资料试卷连接管口处理高中资料试卷弯扁度固定盒位置保护层防腐跨接地线弯曲半径标高等,要求技术交底。管线敷设技术中包含线槽、管架等多项方式,为解决高中语文电气课件中管壁薄、接口不严等问题,合理利用管线敷设技术。线缆敷设原则:在分线盒处,当不同电压回路交叉时,应采用金属隔板进行隔开处理;同一线槽内,强电回路须同时切断习题电源,线缆敷设完毕,要进行检查和检测处理、电气课件中调试对全部高中资料试卷电气设备,在安装过程中以及安装结束后进行高中资料试卷调整试验;通电检查所有设备高中资料试卷相互作用与相互关系,根据生产工艺高中资料试卷要求,对电气设备进行空载与带负荷下高中资料试卷调控试验;对设备进行调整使其在正常工况下与过度工作下都可以正常工作;对于继电保护进行整核对定值,审核与校对图纸,编写复杂设备与装置高中资料试卷调试方案,编写重要设备高中资料试卷试验方案以及系统启动方案;对整套启动过程中高中资料试卷电气设备进行调试工作并且进行过关运行高中资料试卷技术指导。对于调试过程中高中资料试卷技术问题,作为调试人员,需要在事前掌握图纸资料、设备制造厂家出具高中资料试卷试验报告与相关技术资料,并且了解现场设备高中资料试卷布置情况与有关高中资料试卷电气系统接线等情况,然后根据规范与规程规定,制定设备调试高中资料试卷方案。 、电气设备调试高中资料试卷技术电力保护装置调试技术,电力保护高中资料试卷配置技术是指机组在进行继电保护高中资料试卷总体配置时,需要在最大限度内来确保机组高中资料试卷安全,并且尽可能地缩小故障高中资料试卷破坏范围,或者对某些异常高中资料试卷工况进行自动处理,尤其要避免错误高中资料试卷保护装置动作,并且拒绝动作,来避免不必要高中资料试卷突然停机。因此,电力高中资料试卷保护装置调试技术,要求电力保护装置做到准确灵活。对于差动保护装置高中资料试卷调试技术是指发电机一变压器组在发生内部故障时,需要进行外部电源高中资料试卷切除从而采用高中资料试卷主要保护装置。

verilog HDL 名词解释和程序 期末试卷重点

名词解释: 1.EDA:是电子设计自动化的缩写,EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。 2.HDL:硬件描述语言,是一种以文本形式描述数字电路和数字系统的语言,是指对硬件电路进行行为描述、寄存器传输描述或者结构化描述的一种新兴语言。 3.FPGA(:现场可编程逻辑门阵列,它采用了逻辑单元阵列LCA这样一个概念,内部包括可配置逻辑模块CLB、输入输出模块IOB和内部连线三个部分。FPGA利用小型查找表(16×1RAM)来实现组合逻辑。 4.CPLD:复杂的可编程逻辑器件, 主要是由可编程逻辑宏单元围绕中心的可编程互连矩阵单元组成。是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法,生成相应的目标文件,通过下载电缆(“在系统”编程)将代码传送到目标芯片中,实现设计的数字系统。 5.IP: IP是知识产权核或知识产权模块,用于ASIC或FPGA/CPLD中的预先设计好的电路功能模块。 6.Testbench: 在设计数字电路系统时,通常将测试模块和功能模块分开设计,其中测试模块也称测试台(Testbench)。Testbench是通过对设计部分施加激励,然后检查其输出正确与否来完成其验证功能的。 7.reg:是寄存器数据类型的关键字,其表示一个抽象的数据存储单元。reg只能在initial和always 中赋值。而reg在过程赋值语句中使用。reg型数据常用来表示always模块内的指定信号,代表触发器。通常在设计中要由always模块通过使用行为描述语句来表达逻辑关系。在always块内被赋值的每一个信号都必须定义为reg型。 8.wire:是最常用的Net型变量。wire表示直通,即只要输入有变化,输出马上无条件地反映。wire 使用在连续赋值语句中,即以assign关键字指定的组合逻辑信号。Verilog程序模块中输入、输出信号类型默认为wire型。wire型的变量综合出来一般是一根导线。 9.FSM(:有限状态机。是由寄存器组和组合逻辑构成的硬件时序电路。是用来记录电路当前状态的一种电路结构。存储器记录电路当前状态,而组合逻辑用来根据当前状态和当前输入运算出电路的下一个状态。其分为两种:Meaiy机和Moore机。 10.层次化设计:是Verilog HDL设计描述的一种风格,而模块实例化是其具体的实现方式。其中一 种是自顶向下设计,就是从整个系统设计的顶层开始,往下一层将系统划分为若干个子模块,然后再将每一个子模块又向下一层划分为若干的子模块。通过这样将整个系统逐次向下分解,一个顶层设计最后可以细分为若干较小的基本功能块,直到不能继续分解为止。 11.模块:是Verilog HDL设计中的一个基本组成单元。一个模块通常就是一个电路单元器件。一个 模块的代码主要由下面几个部分构成:模块名定义、端口描述和内部功能逻辑描述。模块名必须是唯一的。 12.行为描述:使用结构化过程语句对时序行为进行描述。其中结构化过程语句包括两种语句: initial语句和always语句(行为描述以过程块为基础组成单位,一个模块的行为描述由一个或者多个并行运行的过程块组成。) 13.仿真:利用仿真工具,在PC上对Verilog HDL代码所描述的电路功能进行验证。仿真是在PC上 进行的,通过软件完成。仿真工具提供很多功能强大的调试功能,可以帮助设计者方便且迅速地查找设计中的错误。 14.综合:将Verilog HDL描述的代码转换成实际的电路结构,转换后的电路可以用于生产并实现真 正的芯片硬件电路。 15.阻塞赋值:用“=”作为赋值符。阻塞语句按顺序执行,在下一条语句执行之前,上一条赋值语句 必须执行完毕。组合电路中用的是阻塞赋值。

EDA VerilogHDL期末必考试题

一、填空题(10分,每小题1分)1.用EDA技术进行电子系统设计的目标 是最终完成 ASIC 的设 计与实现。 2.可编程器件分为FPGA 和 CPLD 。 3.随着EDA技术的不断完善与成熟,自顶向下的设计方法更多的被应用于Verilog HDL设计当中。 4.目前国际上较大的PLD器件制造公司有 Altera 和 Xilinx 公司。 5.完整的条件语句将产生组合电路,不完整的条件语句将产生时序 电路。 6.阻塞性赋值符号为=,非阻塞性赋值符号为 <= 。 二、选择题(10分,每小题2分) 1.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是 C 。 A.FPGA全称为复杂可编程逻辑器件; B.FPGA是基于乘积项结构的可编程逻辑器件; C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。 2.基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→综合 →___ __→→适配→编程下载→硬件测试。正确的是 B 。 ①功能仿真②时序仿真③逻辑综合④配置⑤分配管脚 A.③①B.①⑤C.④⑤D.④② 3.子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);指出下列哪些方法是面积优化 B 。 ①流水线设计②资源共享③逻辑优化④串行化⑤寄存器配平⑥关键路径法 D.①④⑥C.②⑤⑥A.①③⑤B.②③④ 4.下列标识符中,____A______是不合法的标识符。 A.9moon B.State0 C.Not_Ack_0 D.signall 5.下列语句中,不属于并行语句的是:___D____

相关文档
相关文档 最新文档