文档库 最新最全的文档下载
当前位置:文档库 › 数字电路测试题

数字电路测试题

数字电路测试题
数字电路测试题

《数字电子》第01章在线测试剩余时间:56:26

答题须知:1、本卷满分20分。

2、答完题后,请一定要单击下面的“交卷”按钮交卷,否则无法记录本试卷的成绩。

3、在交卷之前,不要刷新本网页,否则你的答题结果将会被清空。

第一题、单项选择题(每题1分,5道题共5分)

1、十进制数46所对应的二进制数为:

A、010110

B、01000110

C、101110

D、110100

2、8421BCD码01110010所表示的十进制数是:

A、72

B、114

C、32

D、45

3、十六进制数A6E所对应的二进制数是:

B、011011111101

A、100001110

C、101001101110

D、10614

4、十六进制数2F所对应的十进制数为:

A、00101111

B、47

C、64

D、215

5、逻辑代数中的变量,只有()取值。

A、0和1两个

B、0到9十个

C、一个

D、

第二题、多项选择题(每题2分,5道题共10分)

1、数字电路常用的用开关元件有:

A、电感

B、二极管

C、三极管

D、电阻

E、场效应管

F、电容

2、数字电路三种最基本的逻辑运算包含:

A、与非运算

B、与运算

C、或运算

D、异或运算

E、非运算

3、异或运算是指:

A、输入不同,输出为0

B、输入不同,输出为1

C、输入相同,输出为0

D、输入相同,输出为1

4、或非运算的功能是:

A、输入有1,输出为0

B、输入有1,输出为1

C、输入有0,输出为0

D、输入全0,输出为1

E、输入全0,输出为0

5、逻辑问题的描述方法有:

A、真值表

B、逻辑表达式

C、逻辑图

D、工作波形图

第三题、判断题(每题1分,5道题共5分)

1、按照电路结构和工作原理的不同分为:组合逻辑电路和时序逻辑电路。

正确错误2、(57)D=(111001)B =(10001010)余3BCD

正确错误3、L=A?B表示或运算

正确错误4、BCD码是指用4位二进制代码来表示十进制数的十个数码。

正确错误5、余3BCD码是有权代码。

正确错误

A B

C D

、三极管在饱和区:

A B

C D

、从结构上讲,三极管具有:

A、两个极、三个结

B、三个极、两个结

C、三个极、三个结

D、

4、场效应管属于()器件。

A、电流控制

B、电压控制

C、功率控制

D、

5、与非门的多余输入端不能接:

A、0

B、1

C、D、

第二题、多项选择题(每题2分,5道题共10分)

1、对于本征半导体:

A、自由电子和空穴成对出现

B、自由电子和空穴浓度相同

C、自由电子浓度高,空穴浓度低

D、自由电子和空穴数目相同

E、自由电子数目少,空穴数目多

2、三极管工作在饱和状态时:

A、如图开关断开

B、两个结均反偏

C、如图开关闭合

D、两个结均正偏

E、一个结正偏,另一个结反偏

3、TTL逻辑门具有()的特点。

A、功耗小

B、工作速度快

C、功耗大

D、带负载强

E、抗干扰强

4、三极管也叫:

A、电流控制性器件

B、电压控制器件

C、双极性器件

D、单极性器件

5、场效应管也叫:

A、电流控制器件

B、电压控制器件

C、双极性器件

D、单极性器件

第三题、判断题(每题1分,5道题共5分)

1、三极管饱和导通的条件是Ib〈Ibs。

正确错误

2、三态门的输出除0、1两个状态外,还有第三态,即高阻态(禁止态)。

正确错误

3、MOS门电路具有功耗大,扇出数高,抗干扰强,工作速度快的特点。

正确错误

4、或非门的多余输入端不能接“1”。

正确错误

5、逻辑门的多余输入端可以和有用端并接使用。

正确错误

A、任一时刻的输出状态仅取决于该时刻输入状态的组合,而和电路原来的状态无关。

B、电路中含记忆单元触发器。

C、电路中不含记忆单元(触发器)。

D、输出和输入之间没有反馈延迟通路。

A、逻辑表达式

B、真值表

C、卡诺图

D、逻辑图

E、波形图

A、不同的最小项,使其值为1的取值组合不同。

B、对变量任一组取值,任意两个最小项之积为1。

C、不同的最小项,使其值为1的取值组合相同。

D、对变量任一组取值,任意两个最小项之积为0。

E、对变量任一组取值,所有最小项之和恒为1。

A、最简式为A。

B、对偶式为A(A+B)。

C、最简式为A+B。

D、最简式为AB。

A、=1

B、=0

C、=A+B

D、反函数为0

《数字电子》第03章在线测试

《数字电子》第03章在线测试剩余时间:56:24

答题须知:1、本卷满分20分。

2、答完题后,请一定要单击下面的“交卷”按钮交卷,否则无法记录本试卷的成绩。

3、在交卷之前,不要刷新本网页,否则你的答题结果将会被清空。

第一题、单项选择题(每题1分,5道题共5分)

1、对偶规则的意义在于:

A、若两个表达式相等,则它们的对偶式也一定相等。

B、若两个表达式不等,则它们的对偶式一定相等。

C、若两个表达式相等,则它们的对偶式一定不相等。

D、

2、对逻辑变量任一组取值,任意两个最小项之积为:

A、1

B、0

C、不确定

D、

3、对逻辑变量任一组取值,所有最小项之和恒为:

A、1

B、0

C、不确定

D、

4、卡诺图化简时,每个圈包围的方格数为:

A、任意个

B、2n个

C、2的n次方个

D、

5、卡诺图化简时,八个相邻的最小项合并,可以消去()变量。

A、八个

B、三个

C、一个

D、

第二题、多项选择题(每题2分,5道题共10分)

1、组合逻辑电路:

A、任一时刻的输出状态仅取决于该时刻输入状态的组合,而和电路原来的状态无关。

B、电路中含记忆单元触发器。

C、电路中不含记忆单元(触发器)。

D、输出和输入之间没有反馈延迟通路。

2、同一个逻辑函数,其常用的逻辑表达式类型有:

A、与或式

B、与非式

C、或非式

D、与或非式

3、卡诺图化简画圈的原则:

A、所画总圈数要尽量少

B、每个圈要尽可能大

C、每个最小项都可重复用

D、所有的1都必须圈到

4、逻辑函数L=A+AB:

A、最简式为A。

B、对偶式为A(A+B)。

C、最简式为A+B。

D、最简式为AB。

5、逻辑函数L=A+B+1:

A、=1

B、=0

C、=A+B

D、反函数为0

第三题、判断题(每题1分,5道题共5分)

1、在逻辑函数的每个最小项中,都含有n个因子,每个变量都以原变量或反变量的形式出现且只出现一次。

正确错误

、若两个最小项除去一个变量相同,其它都不同,称此两个最小项逻辑相邻。

正确错误

、组合逻辑电路的分析是指已知逻辑图,求表达式,真值表,确定电路的功能。

正确错误

、逻辑等式A+AB

正确错误

、逻辑表达式A+A

正确错误

数字电子》第04章在线测试剩余时间:59:50

答题须知:1、本卷满分20分。

2、答完题后,请一定要单击下面的“交卷”按钮交卷,否则无法记录本试卷的成绩。

3、在交卷之前,不要刷新本网页,否则你的答题结果将会被清空。

第一题、单项选择题(每题1分,5道题共5分)

1、把某一信息转换为特定二进制代码的过程称为()。

A、译码

B、编码

C、选择

D、

2、二——十进制译码是指输入有()二进制代码,输出相应十进制数的十个信号。

A、十位

B、四位

C、二位

D、

3、对于优先编码器,同一时刻允许()输入。

A、两个或两个以上的信号同时

B、只允许一个信号

C、无法确定

D、

4、把公共数据线上的数据,按要求传送到多个不同的输出端的电路叫做:

A、数据选择器

B、编码器

C、数据分配器

D、译码器

5、七段共阴极数码显示器,如要显示数码7,abcdefg的驱动信号为:

A、0001111

B、1110000

C、1010110

D、

第二题、多项选择题(每题2分,5道题共10分)

1、典型的组合逻辑电路有:

A、译码器

B、计数器

C、加法器

D、编码器

2、二进制译码具有以下特点:

A、输入n位二进制代码,输出有n个相互独立的有效信号。

B、输入n位二进制代码,输出有2的n次方个相互独立的有效信号。

C、每输入一组二进制代码,只有与其对应的输出端信号无效,其他输出端信号有效。

D、每输入一组二进制代码,只有与其对应的输出端信号有效,其他输出端信号无效。

E、每一个输出函数都是n位地址变量的一个最小项。

3、七段共阴极数码管:

A、要用输出为高电平有效的七段显示译码器来驱动。

B、要用输出为低电平有效的七段显示译码器来驱动。

C、若要显示数据8,abcdefg=1111111。

D、若要显示数据8,abcdefg=1000001。

4、数据选择器是:

A、能够从多路输入数据中选出多路作为输出的组合电路。

B、数据选择器的输出具有最简与或表达式的形式。

C、能够从多路输入数据中选出一路作为输出的组合电路。

D、数据选择器的输出具有标准与或表达式的形式,提供了地址变量的全部最小项。

5、74LS151集成芯片:

A、是一个8选1数据选择器。

B、属于组合逻辑电路。

C、属于时序逻辑电路。

D、是一个3/8译码器。

第三题、判断题(每题1分,5道题共5分)

1、数据选择器四选一,当地址码为00时,选择D3作为输出。

正确错误

2、用来完成两个二进制数的大小比较的逻辑电路称为数值比较器。

正确错误

3、能对两个1位二进制数进行相加而求得和及进位的逻辑电路称为全加器。

正确错误

4、串行进位加法器电路简单、但速度较慢,并行进位加法器速度较快、但电路复杂。

正确错误

5、用16选1数据选择器,不能实现四变量的组合逻辑函数。

正确错误

A B

C D

触发器在触发输入信号

A、只能置1,不能置0

B、只能置0,不能置1

C、可以置“1”或置“0

D、

3、D触发器是在CP脉冲作用下,根据输入信号D,具有()功能的电路。

A、置0、置1

B、保持和翻转

C、翻转

D、

4、当JK触发器的J=K=1时,所构成得触发器为:

A、置0型的触发器

B、置1型的触发器

C、翻转型的触发器

D、

5、RS触发器的触发输入信号之间:

A、有约束

B、无约束

C、无法确定

D、

第二题、多项选择题(每题2分,5道题共10分)

1、触发器有两个互补的输出,且输出不仅与输入有关,还和电路原状态有关,他有:

A、两个稳态0、1

B、记忆功能

C、可存储一位二进制数

D、一个稳态0

2、触发器按功能分类有:

A、T’触发器

B、RS触发器

C、JK触发器

D、D触发器

E、T触发器

3、RS触发器在CP脉冲的作用下,根据输入信号RS,可以:

A、保持

B、置0

C、置1

D、翻转

4、D触发器具有的功能有:

A、置0

B、置1

C、保持

D、翻转

5、(),则构成T’触发器。

A、JK触发器的J=K=1时

B、JK触发器的J=K=0时

C、T触发器的T=1时

D、T触发器的T=0时

第三题、判断题(每题1分,5道题共5分)

1、边沿触发器只有在有效的时钟沿才能触发翻转。

正确错误

2、D触发器具有翻转的功能。

正确错误

3、JK触发器只具有置0和置1的功能。

正确错误

4、T’触发器也叫做翻转型的触发器。

正确错误

5、T触发器的T=1时,就构成T’触发器。

正确错误

《数字电子》第06章在线测试剩余时间:58:55

答题须知:1、本卷满分20分。

2、答完题后,请一定要单击下面的“交卷”按钮交卷,否则无法记录本试卷的成绩。

3、在交卷之前,不要刷新本网页,否则你的答题结果将会被清空。

第一题、单项选择题(每题1分,5道题共5分)

1、时序逻辑电路的输出信号:

A、与当时的输入信号有关,与电路的原状态无关。

B、与当时的输入信号有关,与电路的原状态有关。

C、与当时的输入信号无关,与电路的原状态有关。

D、

2、时序逻辑电路中必须含有:

A、存储电路

B、编码器

C、加法器

D、

3、同步时序逻辑电路所有触发器的时钟输入端都接同一个时钟脉冲。

A、各触发器不同时具备触发翻转的条件

B、各触发器同时具备触发翻转的条件

C、各触发器的触发翻转有先有后

D、

4、异步时序逻辑电路各触发器的时钟输入所接不是同一的时钟脉冲。

A、结构简单,速度快

B、结构复杂,速度慢

C、结构简单,速度慢

D、

5、异步时序逻辑电路,各触发器:

A、触发翻转有先有后

B、同时触发翻转

C、无法确定

D、

第二题、多项选择题(每题2分,5道题共10分)

1、时序逻辑电路从结构上讲,包含有:

A、存储元件

B、触发器或含有反馈延迟电路

C、译码器

2、时序逻辑电路的输出信号:

A、与当时的输入信号无关

B、与当时的输入信号有关

C、与电路的原状态有关

D、与电路的原状态无关

3、同步时序逻辑电路:

A、所有触发器的时钟输入端都接同一个时钟脉冲

B、各触发器同时具备触发翻转的条件

C、速度快;结构简单

D、速度快;结构复杂

4、时序逻辑电路的逻辑功能可用()来描述。

A、状态方程

B、状态表

C、状态图

D、时序图

5、时序逻辑电路的分析是指已知逻辑图:

A、列写逻辑方程式

B、计算状态表

C、画电路的状态图

D、画电路的时序图

E、判定电路的功能

第三题、判断题(每题1分,5道题共5分)

1、时序逻辑电路中必须含有存储电路。

正确错误2、时序逻辑电路中的存储电路只能用延迟元件组成,不能用触发器构成。

正确错误3、同步时序逻辑电路各触发器同时具备触发翻转的条件。

正确错误

、异步时序逻辑电路结构简单,速度慢。

正确错误

、时序逻辑电路的输出信号只与当时的输入信号有关,与电路的原状态无关。

正确错误

数字电子》第07章在线测试剩余时间:55:55

答题须知:1、本卷满分20分。

2、答完题后,请一定要单击下面的“交卷”按钮交卷,否则无法记录本试卷的成绩。

3、在交卷之前,不要刷新本网页,否则你的答题结果将会被清空。

第一题、单项选择题(每题1分,5道题共5分)

1、一个6进制的计数器,是一个()分频器。

A、2

B、6

C、36

D、

2、同步计数器是指各触发器受控同一计数脉冲。

A、各进位信号并行产生,速度快,电路复杂。

B、各进位信号串行产生,速度快,电路复杂。

C、各进位信号并行产生,速度快,电路简单。

D、

3、若一个计数器的各触发器的状态更新有先有后,进位信号逐级传递,速度慢,电路简单。则它为:

A、异步计数器

B、同步计数器

C、不能确定

D、

4、一个触发器可存放一位二进制数,要存放n位二进制数码,需要有()触发器。

A、n个

B、2n个

C、2的n次方个

D、

5、基本寄存器的数据:

A、只能并行输入、串行输出。

B、只能串行输入、串行输出。

C、只能并行输入、并行输出。

D、

第二题、多项选择题(每题2分,5道题共10分)

1、计数器是能对输入的脉冲个数进行计数的电路。可实现:

A、分频

B、定时

C、编码

D、选择

2、4个D触发器组成10进制计数器:

A、有效状态数有10个

B、无效状态数有10个

C、有效状态数有6个

D、无效状态数有6个

E、总状态数有16个

3、二进制计数器:

A、每经一级触发器,输出脉冲的频率降低一倍

B、每输入一个计数脉冲,最低位的Q状态改变一次。

C、每经一级触发器,输出脉冲的频率增大一倍

D、每经一级触发器,输出脉冲的周期降低一倍

4、用一片集成四位二进制计数器,可以构成()进制的计数器。

A、6

B、36

C、15

D、24

E、8

5、用3个触发器构成的环形计数器:

A、计数器的模为6

B、工作时循环移动一个“1”或“0”。

正确错误

、二进制计数器每输入一个计数脉冲,最高位的状态改变一次。

正确错误

、用集成计数器构成任意进制计数器时,常用反馈归法和反馈置数法。

正确错误

、基本寄存器的数据只能并行输入、并行输出。

正确错误

、移位寄存器中的数据可以在移位脉冲作用下依次逐位右移或左移,数据可以并行输入、并行输出,串行输入、串行输出,并行输入、串行正确错误

A B

C D

、存储器的存储容量是指所包含的:

A B

C D

、存储器以()为单位进行读写操作。

A B

C、存储单元

D、

4、32K×8的存储器有15位地址码,()条数据线。

A、15

B、32

C、8

D、

5、ROM存储器:

A、数据不可长久保存,易失

B、数据可长久保存,不易失

C、很难判定

D、

第二题、多项选择题(每题2分,5道题共10分)

1、存储器的构成单元有:

A、比较器

B、存储矩阵

C、地址译码

D、读/写控制电路

2、进出存储器的三类信号线有:

A、地址线

B、数据线

C、清0线

D、控制线

3、存储器以()为单位进行读写操作。

A、字

B、地址

C、位

4、ROM可以分为:

A、固定ROM

B、可编程ROM

C、RAM

5、可编程ROM包含:

A、PROM

B、EPROM

C、E2PROM

D、ROM

第三题、判断题(每题1分,5道题共5分)

1、半导体存储器是用来存放数据、资料等二进制信息的部件。

正确错误2、存储器所包含的总存储单元数是指存放的字数。

正确错误3、存储器以位为单位进行读写操作。

正确错误4、用4K×1的存储器芯片扩展为4K×8的存储器系统,要采用字扩展的方式。

正确错误5、1KB的存储器芯片,其字长为2。

正确错误

A B

C D

、单稳态触发器具有:

A B

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

《数字电路》期末模拟试题及答案

- 1 - 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1=;Y 2 = ;Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____ c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

《数字电路与系统》期末试卷(A卷)

北京理工大学珠海学院 2008 ~ 2009学年第二学期《数字电路与系统》期末试卷(A ) 一、填空题(每空1分,共20分)【得分: 】 1.数制转换:(3EC)H =( )D =( )O 。 2.逻辑代数的基本运算有 、 、 三种。 3.数字电路按照是否有记忆功能通常可分为 、 两类。 4.能够实现“线与”的TTL 门电路叫 。 5.JK 触发器当时钟到来时,如果J=0,K=0,触发器的状态将 ; 如果J=1,K=1,触发器的状态将 。 6.时序逻辑电路按照其触发器是否有统一的时钟控制分为 时序电路和 时序电路。 7.TTL 与非门中,关门电平VOFF 的定义是 。 开门电平VON 的定义是 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A2A1A0=110时,输出01234567Y Y Y Y Y Y Y Y 应为 。 9.一个10位地址码、8位输出的ROM ,其存储容量为 。 10.数字系统的设计方法有 和 两种。 11.用VHDL 语言描述电路时,基本结构由 和 两部分组成。 二、选择题(每小题2分,共10分)【得分: 】 1.将十进制数13.25转换成二进制数为( )。 A .1011.01 B.1011.1 C.1101.1 D.1101.01 2.用8421BCD 码表示两位十进制数,则至少需要( )0、1码。 A. 5位 B.7位 C.8位 D.6位 3.n 个变量的最小项是( )。 A.n 个变量的积项,它包含全部n 个变量,每个变量可用原变量或非变量。

第2页共6页 B.n 个变量的和项,它包含全部n 个变量,每个变量可用原变量或非变量。 C.n 个变量的积项,它包含全部n 个变量,每个变量仅为原变量。 D.n 个变量的和项,它包含全部n 个变量,每个变量仅为非变量。 4.用JK 触发器设计12进制同步计数器,至少需要( )触发器。 A.2个 B.3个 C.4个 D.5个 5.一个4位移位寄存器可以构成最长计数器的长度是( )。 A.8 B.12 C.15 D.16 三、逻辑函数化简(每小题5分,共10分)【得分: 】 1.用代数化简法化简函数EF B EF B A BD C A AB D A AD L ++++++= 2.将下列逻辑函数用卡诺图法化简为最简与或表达式。 F(A,B,C,D)=Σm(0,1,5,7,8,11,14)+Σd(3,9,15) 四、分析与设计题(共20分) 【得分: 】

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

数字电子技术基础期末考试试卷及答案

数字电子技术基础期末考试试卷及答案 Document serial number【KKGB-LBS98YT-BS8CB-BSUT-BST108】

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为 12 条、数据线为 8 条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是( D)。 A、或非门 B、与非门 C、异或门 D、OC门

3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>Ω) B、悬空 C、通过小电阻接地(<1KΩ) B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为( C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

大工《数字电路与系统》课程考试模拟试卷A答案

大连理工大学网络教育学院 《数字电路与系统》课程考试模拟试卷答案 考试形式:闭卷试卷类型:A 一、单项选择题(本大题共6小题,每小题3分,共18分) 1.B 2.C 3.C 4.B 5.A 6.C 二、填空题(本大题共10空,每空2分,共20分) 1.(1) 213 (2) 12.04 (3) 40D (4) 10101001.1100 2. 三、化简题(本大题共3小题,每小题6分,共18分) =++ 1.答:F XYZ XY XY Z =+(3分) XY XY =(3分) Y 2.答:填卡诺图,圈1,得到最简与或表达式。

(3分) Y B AD ACD ∴ =++ (3分) 3.证明:0A ⊕ 00A A =+ (3分) A = (3分) 四、简答题(本大题共3小题,第1、2小题各7分,第3小题10分,共24分) 1.答: Q Q O O t t S R O O t t (错1处扣1分,图都正确7分满分) 2.答: ln( )DD T T DD T T V V V T RC V V V -+ +- -=- (3分)

3812 2.7 6.3 201010ln()s 12 6.3 2.7 --=????- (2分) 0.267ms 267μs == (2分) 3.答:(1)3 ref f 322821016V 210 V FSR R R ???===? (2分) (2)当123011X X X =时,333 166V 28o V FSR =-=-?=- (2分) 当123110X X X =时,366 1612V 28 o V FSR =-=-?=- (2分) (3),min 311 162V 28 o V FSR =-=-?= (2分) (4)3,max 3217 1614V 28 o V FSR -=-=-?=- (2分) 五、计算题(本大题1小题,共20分) 1.答:(1)分析。分别用变量A 、B 、C 代表三人的表决选择,变量取值可以为1和0,分别代表同意和反对,最后的表决结果为变量Y ,其取值0表示提议未通过,1表示提议通过。 (3分) (2)列出真值表,如下表所示。 五.1题真值表 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 (真值表3分) (3)化简逻辑函数,如下图(a )所示,得到 Y AB BC AC =++ (3分) (4)画出逻辑图,如下图(b )所示。 如果要求用与非门实现该逻辑电路,就应将表达式转换成与非-与非表达式: Y AB BC AC ABBC AC =++= (3分)

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

《数字电路》期末模拟试题及答案 3

1. 当PN 结外加正向电压时,PN 结中的多子______形成较大的正向电流。 2. NPN 型晶体三极管工作在饱和状态时,其发射结和集电结的外加电压分别处于___ ___偏置和_______偏置。 3. 逻辑变量的异或表达式为:_____________________B A =⊕。 4. 二进制数A=1011010;B=10111,则A -B=_______。 5. 组合电路没有______功能,因此,它是由______组成。 6. 同步RS 触发器的特性方程为:Q n+1 =______,其约束方程为:______。 7. 将BCD 码翻译成十个对应输出信号的电路称为________,它有___个输入 端,____输出端。 8. 下图所示电路中,Y 1 Y 3 =______。 1. 四个触发器组成的环行计数器最多有____个有效状态。 A.4 B. 6 C. 8 D. 16 2. 逻辑函数D C B A F +=,其对偶函数F * 为________。 A .()()D C B A ++ B. ()()D C B A ++ C. ()()D C B A ++ 3. 用8421码表示的十进制数65,可以写成______。 A .65 B. [1000001]BCD C. [01100101]BCD D. [1000001]2 4. 用卡诺图化简逻辑函数时,若每个方格群尽可能选大,则在化简后的最简表达式 中 。 A .与项的个数少 B . 每个与项中含有的变量个数少 C . 化简结果具有唯一性 A 1 A B 3

5. 已知某电路的真值表如下,该电路的逻辑表达式为 。 A .C Y = B . AB C Y = C .C AB Y += D .C C B Y += 化简下列逻辑函数,写出最简与或表达式: 1. 证明等式:AB B A B A B A +?=+ 2. Y 2=Σm (0,1,2,3,4,5,8,10,11,12) 3. Y 3=ABC C AB C B A C B A + ++? 分析设计题: 1.双四选一数据选择器如图所示,其功能表达式如下。现要实现八选一数据选择器的功能(地址信号为 A 2A 1A 0,数据输入端信号为 D 7 ~ D 0 ) ,请画出电路连接图。 1A A A A D Y =(2D Y =( 2.TTL

数字电路试卷及答案

一.选择题 1十进制数3.625的二进制数和8421BCD 码分别为(D ) A 、11.11和11.001 B 、11.101和11.101 C 、11.01和11.011000100101 D 、11.101和0011.011000100101 2、逻辑函数F1、F2、F3的卡诺图如图所示,他们之间的逻辑关系是(B ) A 、F3=F 1·F2 B 、F3=F1+F2 C 、F2=F1·F3 D 、F2=F1+F3 00 01 11 10 0 1 1 1 1 1 F1 F2 F3 3 、和TTL 电路相比,CMOS 电路最突出的有点在于(C ) A 、可靠性高 B 、抗干扰能力强 C 、功耗低 D 、速度快 4、用1K ×4的DRAM 设计4K ×8位的存储器的系统需要的芯片数和地址线的根数是(C ) A 、16片 10根 B 、8片 10根 C 、8片 12根 D 、16片 12根 5、在图2中用555定时器组成的施密特触发电路中,它的回差电压等于(A ) A 、2V B 、3V C 、 4V D 、5V 图2 图3 6、为将D 触发器转换为T 触发器,图3所示电路的虚线框内应是(D ) A 、或非门 B 、与非门 C 、异或门 D 、同或门 7、在下列逻辑部件中,不属于组合逻辑部件的是(A ) A .寄存器 B 、编码器 C 、全加器 D 、译码器 8、某10位D/A 转换器,当输入为D=010*******B 时,输出电压为1.6V 。当输入D=1000010000B 时,输出电压为(B ) A 、3.15V B 、3.30V C 、3.60V D 、都不是 二.填空题 1、逻辑函数F=A ·(B+C )·1的反函数F =_____0+?+C B A ___________ 2、四选一数据选择器,AB 为地址信号,D 0=D 3=1,D 1=C ,D 2=c ,当AB=10时,输出F=__C__ 3、将模拟信号转化为数字信号,需要采用A/D 转换器。实现A/D 转换一般要经过采样、保持、量化和编码等4个过程。 00 01 11 10 0 1 1 1 1 00 01 11 10 0 1 1 1 1 1 1

数字电路测试题2答案

数字电路测试题2答案 一、 填空 1、(110110)2 = ( 54 )10 = ( 66 )8 = ( 01010100 )8421BCD 。 2、逻辑代数有 与 、 或 、 非 三种基本运算。 3、逻辑函数有 真值表 、 逻辑表达式 、 逻辑图 、 波形图 、 卡诺图 五种表示方法。 4、逻辑函数D C B B A F ??+=的反函数F =(A+B )(B +C+D),对偶函数 F '=(A +B)(B+D C +) 5、用卡若图化简函数,包围圈内相邻项的个数应为n 2。 6、C B AC C B A F ++=的最小项之和式F=A B C +A B C+ABC+A B C 7、常用集成芯片74LS00、74LS76、74LS151的名称分别是:四二输入与非门、双JK 触发器 、 八选一数据选择器。 8、如图1—1所示 : 图1—1 F 1= AB+BC 、 F 2= 1 、 F 3=A+B 。 9、如图1—2所示,电路的输出: 1)、当 C=0时, F = A+B 2)、当 C=1时, F = 高阻态 F 图1—2 10、JK 触法器是一种功能齐全的触发器,它具有 保持 、 置0 、 置1 、 翻 砖

的逻辑功能。 11、只具有 置0 和 置1 功能的触发器是D 触发器。 12、设计一个同步6进制计数器,需要 3 个触发器。 13、如图1—3所示,Q n+1=n Q 14、如图1—4所示:同步四位二进制计数器74LS161构成的是 十三 进制计数器。 15、施密特触发器 有两个稳定状态,有两个不同的触发电平,具有回差特性。多谐振荡器没有稳定状态,只有两个暂稳态,利用 电容的充电和放电 作用使电路能够产生自激振荡从而在输出端输出矩形脉冲。 J K J CP K Q CP CO LD CR Q 3 Q 2 Q 1 Q 0 0 0 0 1 CT T CT P CP & 1 1 74LS161 D 3 D 2 D 1 D 0 图1—3 图1—4 图1—5 16、如图1—5所示:由555定时器和电阻R 1、R 2及电容C 构成的电路是 多谐振荡器电路 。 17、A/D 转换是将 模拟信号转换为数字信号 的转换过程,通过 采样 、 保持 、 量化 、 编码 等四个步骤完成。 二、 将下列函数化简成最简与或式 (1)()C B BC BC A ABC A Y D C B A ++++=、、、 (用公式法化简) 解: Y=A+ABC+A BC +BC+B C =A(1+BC+BC )+C(B+B )=A+C (2)()C B A ABC C B A Y D C B A ++⊕=)(、、、 (用公式法化简) 解: Y=(A ⊕B)C+ABC+A B C = A BC+A B C+ABC+A B C =A C(B+B )+AC((B+B )=C

数字电路复习题及答案

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。)1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为

1。 11、对于任意一个最小项,只有一组变量的取值 使其值为1,而在变量取其他各组值时 这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之 积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。 15、按电路的功能分,触发器可以分为RS、JK、 D、T、 T’。 16、时序电路可分为同步时序逻辑电路和异步时序逻辑电路两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含驱动方程、输出方程、 状态方程)、状态图、状态表、时序图。 18、(251)10 =(11111011)2 =(FB)16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为

数字电路的期末试题及标准答案

数字电路的期末试题 一、客观题:请选择正确答案,将其代号填入()内;(本大题共10小题,每空2分,共20分) ⒈当某种门的输入全部为高电平,而使输出也为高电平者,则这种门将是: A.与非门及或非门; B.与门及或门; C.或门及异或门; D.与门及或非门.( B ) ⒉在如下所列4种门电路中,与图示非门相等效的电路是:( B ) ⒊已知,则函数F和H的关系,应是:( B ) A.恒等; B.反演; C.对偶; D.不确定. ⒋若两个逻辑函数恒等,则它们必然具有唯一的:(A) A.真值表; B.逻辑表达式; C.电路图; D.逻辑图形符号. ⒌一逻辑函数的最小项之和的标准形式,它的特点是:(C) A.项数最少; B.每个乘积项的变量数最少; C.每个乘积项中,每种变量或其反变量只出现一次; D.每个乘积项相应的数值最小,故名最小项. ⒍双向数据总线可以采用( B )构成。 A.译码器; B.三态门; C.与非门; D.多路选择器. ⒎在下列逻辑部件中,不属于组合逻辑部件的是( D )。 A.译码器; B.编码器; C.全加器; D.寄存器. ⒏八路数据选择器,其地址输入端(选择控制端)有( C )个。

A.8个 B.2个 C.3个 D.4个 ⒐为将D触发器转换为T触发器,如图所示电路的虚线框内应是( D )。 A.或非门 B.与非门 C.异或门 D.同或门 ⒑为产生周期性矩形波,应当选用( C )。 A.施密特触发器 B.单稳态触发器C.多谐振荡器 D.译码器 二、化简下列逻辑函数(每小题5分,共10分) ⒈用公式法化简逻辑函数: ⒉用卡诺图法化简逻辑函数:Y(A,B,C,D)=∑m(2 ,3,7,8,11,14) 给定约束条件为m0+m5+ m10+m15=0 三、非客观题(本题两小题,共20分) ⒈如图所示为三输入变量的或门和与门的逻辑图。根据两种不同的输入波形(见图b),画出Y1、Y2的波形。(本题共8分,每个Y1、Y2各 2分) 解

数字电子技术试卷及答案五套

数字电子技术试卷 一、选择题: A组: 1.如果采用偶校验方式,下列接收端收到的校验码中,( A )是不正确的 A、00100 B、10100 C、11011 D、11110 2、某一逻辑函数真值表确定后,下面描述该函数功能的方法中,具有唯一性的是(B)A、逻辑函数的最简与或式B、逻辑函数的最小项之和 C、逻辑函数的最简或与式 D、逻辑函数的最大项之和 3、在下列逻辑电路中,不是组合逻辑电路的是(D) A、译码器 B、编码器 C、全加器 D、寄存器 4、下列触发器中没有约束条件的是(D) A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 5、555定时器不可以组成D。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器 6、编码器(A)优先编码功能,因而(C)多个输入端同时为1。 A、有 B、无 C、允许 D、不允许 7、(D)触发器可以构成移位寄存器。 A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 8、速度最快的A/D转换器是(A)电路 A、并行比较型 B、串行比较型 C、并-串行比较型 D、逐次比较型 9、某触发器的状态转换图如图所示,该触发器应是( C ) A. J-K触发器 B. R-S触发器 C. D触发器 D. T触发器 10.(电子专业作)对于VHDL以下几种说法 错误的是(A ) A VHDL程序中是区分大小写的。 B 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义元件的引脚 D 结构体是描述元件内部的结构和逻辑功能 B组: 1、微型计算机和数字电子设备中最常采用的数制是--------------------------------( A ) A.二进制 B.八进制 C. 十进制 D.十六进制 2、十进制数6在8421BCD码中表示为-------------------------------------------------( B ) A.0101 B.0110 C. 0111 D. 1000

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电路期末试卷及答案A

系名____________班级____________姓名____________学号____________ 密封线内不答题 2011 —— 2012 学年第 2 学期 课程名称: 数字电子技术基础 使用班级:11级电子、通信、控制本科 一、 填空、单选题(在括号内填入所选序号)(每小题2分、共20分) 1、5F.8 16 =(___________) 10 =(___________) BCD 8421 2、一个四位二进制递减计数器的初态为1110,经过三个计数脉冲后,该计数器的状态 为_________。 3、要用1K ×4的RAM 构成存储容量为4K ?16位的存储器,需要用 _________片进行扩展。 4、A/D 转换器用以将输入的_________转换成相应_________输出的电路。 5、偏离状态能在计数脉冲作用下自动转入有效状态的特性,称为__________特性。 6、如果F (A,B,C )=∑)7,5,4,2,0(m ,那么F (A,B,C)=M ∏( ) 。 A. 0,2,4,5,7 B . 1,3,6 C . 0,2,3,5,7 D . 1,4,6 7、已知D/A 转换器的最小输出电压为10mv ,最大输出电压为2.5v ,则应选用( )位的D/A 转换器。 A . 7 B . 8 C. 9 D . 10 8、存储容量为256×8的RAM 有( )根地址输入线。 A . 8 B. 256 C . 10 D . 11 9、TTL 电路中三极管作为开关时工作区域是( )。 A. 饱和区+放大区 B. 饱和区+截止区 C. 放大区+击穿区 D. 击穿区+截止区 10、4位输入的二进制译码器,其输出端有( )位。 A. 16 B. 8 C. 4 D. 2 二、逻辑函数简化及变换 (共15分) 1、用公式法将下面的逻辑函数式化简为最简与—或表达式。(6分)

数字电路试题及答案后面附带1doc

数字电路模拟题(答案附后) 注:此为上次考试模拟卷和答案,与本次模拟卷题目相同,但顺序不同,以此卷做参考。 一、 1、数制转换(其中B表示二进制,D表示十进制,H表示十六进制) (1)(10110)B=( )D (2)(0.1011)B=( )D (3)(3B)H=( )D (4) (0.35) H=()D (5) (0.34)D=()H=( )B (6) (1011.101) B=( )D (7) (3F) H =( )D (8) (0.8125) D=( )B (9) (173) D=( )H (10) (0101.0110)B=( )D (11) (8FA.C6)=( )B (12) (0.35 )H = ( )D (13) (73)D =( )H 2、利用逻辑代数的基本公式和常用公式化减下列各式 3、指出下列存储系统各具有多少个存储单元,至少需要几根地址线和数据线? 4、设存储器的起始地址为全0,试指出下列存储系统的最高地址为多少? 二、如图所示为由NMOS管构成的逻辑电路。试写出其逻辑表达式并说明它是什么逻辑电路? 三、双互补对与反相器引出端如图所示,试连接成3输入端或非门。

四、试分析如图所示逻辑电路的功能,写出逻辑表达式和真值表。 1、 2、 3、 五、1、试用三个3输入端与门,一个或门和非门实现语句“A>B”,A和B均为两位二进制数。 2、试用三个3输入端与门,一个或门和数个非门实现语句“A>B”,A和B均为两位二进制数。 3、分别写出同步RS、T触发器的特性表和特性方程。 4、用2输入与非门和反相器设计一个三位的奇偶校验器,即当3位数中有奇数个1时输出为1,否则输出为0。 六、电路如图所示,写出驱动方程、状态方程、列出状态表、画出状态图,并确定逻辑功能。 1、

北京航空航天大学2011《数字电路与系统》期末考试试卷(A 卷)

北京航空航天大学 2011 ~2012 学年第一学期 《数字电路与系统》期末考试试卷(A卷) 答案及参考评分标准 一、(10分,每小题2分)判断各题正误,正确的在括号内记“√”,错误的在 括号内记“×”。 (1) 对于十进制纯小数,求它的二进制表示可以采用“除2取余”法。………(×) (2) TTL门电路在高电平输入时,其输入电流很小(74系列每个输入端的输入电 流约为40μA)。…………………………………………………………(√) (3) 三态门输出为高阻时,其输出线上的电压为高电平。…………………(×) (4) 单稳态触发器的暂稳态维持时间的长短取决于外界触发脉冲的频率和幅 度。…………………………………………………………………………(×)(5) 当时序逻辑电路存在无效循环时,该电路不能自启动。………………(√) 第 1 页共11 页

三、(15分)如图3-1(1) (2) (3) 化简后的结果Y 2(解: (1) Y (A ,B ,C ,D )=B A ??(2) Y 1(A ,B ,C ,D )=C B ?说明:(3) Y 2(A ,B ,C ,D )= Y 1(A ,说明:

四、(15分)已知电路原理图如图4-1所示,CP1、CP2的波形如图4-2所示, 设触发器的初始状态均为“0”,请在图4-2中画出输出端B和C的波形。 图4-1 图4-2 解: 评分标准:①只要画对任意一次B、C和CP1/CP2的配合关系,即可得7分,其余二次,B和C的波形每对1次,2分;②如果三次配合关系都不对,则B和C 的波形每对1次,2分;③如果画得配合关系无法识别,则能够正确识别上升沿触发,每对1次,1分;④如果画出了异步清零的门传输延迟,则是更加精确的答案,同样可以得分,且可以在出现其它失误的时候可以酌情加1~2分。 第 4 页共11 页

数字电子技术试卷试题答案汇总(完整版)

数字电子技术基础 试题库及答案汇总 一、 填空题(每空1分,共20分) 1、逻辑代数中3种基本运算是 , , 。 2、逻辑代数中三个基本运算规则 , , 。 3、逻辑函数的化简有 , 两种方法。 4、A+B+C= 。 5、TTL 与非门的u I ≤U OFF 时,与非门 ,输出 ,u I ≥U ON 时,与非门 ,输出 。 6、组合逻辑电路没有 功能。 7、竞争冒险的判断方法 , 。 8、触发器它有 稳态。主从RS 触发器的特性方程 , 主从JK 触发器的特性方程 ,D 触发器的特性方程 。 二、 选择题(每题1分,共10分) 1、相同为“0”不同为“1”它的逻辑关系是 ( ) A 、或逻辑 B 、与逻辑 C 、异或逻辑 2、Y (A ,B ,C ,)=∑m (0,1,2,3)逻辑函数的化简式 ( ) A 、Y=AB+BC+ABC B 、Y=A+B C 、Y=A 3、 A 、Y=A B B 、Y 处于悬浮状态 C 、Y=B A + 4、下列图中的逻辑关系正确的是 ( ) A.Y=B A + B.Y=B A + C.Y=AB 5、下列说法正确的是 ( ) A 、主从JK 触发器没有空翻现象 B 、JK 之间有约束 C 、主从JK 触发器的特性方程是CP 上升沿有效。 6、下列说法正确的是 ( ) A 、同步触发器没有空翻现象 B 、同步触发器能用于组成计数器、移位寄存器。 C 、同步触发器不能用于组成计数器、移位寄存器。 7、下列说法是正确的是 ( ) A 、异步计数器的计数脉冲只加到部分触发器上 B 、异步计数器的计数脉冲同

时加到所有触发器上 C、异步计数器不需要计数脉冲的控制8、下列说法是正确的是() A、施密特触发器的回差电压ΔU=U T+-U T- B、施密特触发器的回差电压越大,电 路的抗干扰能力越弱 C、施密特触发器的回差电压越小,电路的抗干扰能力越强 9、下列说法正确的是() A、多谐振荡器有两个稳态 B、多谐振荡器有一个稳态和一个暂稳态 C、多谐振荡器有两个暂稳态 10、下列说法正确的是() A、555定时器在工作时清零端应接高电平 B、555定时器在工作时清零端应接低电平 C、555定时器没有清零端 三、判断题(每题1分,共10分) 1、A+AB=A+B () 2、当输入9个信号时,需要3位的二进制代码输出。() 3、单稳态触发器它有一个稳态和一个暂稳态。() 4、施密特触发器有两个稳态。() 5、多谐振荡器有两个稳态。() 6、D/A转换器是将模拟量转换成数字量。() 7、A/D转换器是将数字量转换成模拟量。() 8、主从JK触发器在CP=1期间,存在一次性变化。() 9、主从RS触发器在CP=1期间,R、S之间不存在约束。() 10、所有的触发器都存在空翻现象。() 四、化简逻辑函数(每题5分,共10分) 1、 2、Y(A,B,C,)=∑m(0,1,2,3,4,6,8,9,10,11,14) 五、画波形图(每题5分,共10分) 1、 2、 六、设计题(每题10分,共20分)

数字电路期末考试试卷及答案

2010-2011学年度第一学期 09级电子技术基础(数字部分)期末考试试卷 一、填空题(本大题共15小题,每空1分,总计30分) 1、 (127)10= ( )2= ( ) 8421BCD。 2、5个变量可构成个最小项,全体最小项之和为。 3、基本逻辑运算有、、 3种。 4、描述逻辑函数各个变量取值组合和函数值对应关系的表格叫。 5、3线—8线译码器74LS138处于译码状态时,当输入A2A1A0=011时,输出= 。 6、对于T触发器,当T= 时,触发器处于保持状态。 7、某计数器的输出波形如图1所示,该计数器是进制计数器。 CP Q 1 Q 2 Q (图1) 8、触发器有个稳定状态,用来寄存和。这种无外加触发信号时能维持原态不变的功能称功能。在外加触发信号作用下,触发器状态。 9、要完成二进制代码转换为十进制数,应选择的电路是:。 10、所谓计数器,是指能和输入脉冲个数的逻辑部件,它是利用触发器的功能来实现的。 11、对于JK触发器,若J=K,则可完成触发器的逻辑功能;若K= J,则可完成触发器的逻辑功能。 12、加法器是用来完成二进制数的加法运算的,它分为和。 13、用表示某些特定含义的代码就称为编码;而把的过程称为,它是编码的逆过程。 14、一个十进制加法计数器需要由个JK触发器组成。 15、3位二进制计数器累计脉冲个数为;4位二进制计数器累计脉冲个数为。 二、单项选择题(本大题共10小题,每小题2分,总计20分) 1、要将方波脉冲的周期扩展16倍,可采用:。 A、16进制计数器 B、十位二进制计数器 2、能实现串行数据变换成并行数据的是:。 A、编码器 B、译码器 C、移位寄存器 D、二进制计数器3、构成4位寄存器应选用个触发器。 A、2 B、4 C、6 D、8 4、对于由3个D触发器组成的单向移位寄存器,3位串行输入数码全部输入寄存器并全部串行输出,则所需要的移位脉冲的数量为。 A、12 B、6 C、3 D、2 5、具有“置0”、“置1”、“保持原状”、“状态翻新”,被称为全功能的触发器的是。 A、D触发器 B、T触发器 C、JK触发器 D、同步RS触发器 6、在触发脉冲作用下,每来一个触发脉冲,触发器的状态就翻转一次的触发器是:。 A、D触发器 B、T,触发器 C、JK触发器 D、同步RS触发器 7、对于基本RS触发器,若S=R=0,则。 A、Q=Q=0 B、Q=Q=1 C、Q=1,Q=0 D、Q=1,Q=0 8、存储8位二进制信息要个触发器。 A、2 B、4 C、6 D、8 9、对于一个共阳极型数码管,若译码器输出送数码管驱动的abcdefg=0000110,则显示的字符为。 A、2 B、3 C、E D、F 10、在下列逻辑电路中,不是组合逻辑电路的是:。 A、译码器 B、运算放大器 C、全加器 D、编码器 三、问答及作图题(本大题共4小题,每小题8分,共32分) 1、触发器的描述方法有哪些?请列举其中四种。 2、异步计数器在结构上有何特点?同步计数器在结构上有何特点? 3、两个D触发器构成的电路如图二所示,设初态Q0=Q1=1,试画出在5个脉冲作用下Q0、 Q、Q1 、 1 Q端的输出波形。 CP (图二) Q Q Q1 CP 1 Q

相关文档