文档库 最新最全的文档下载
当前位置:文档库 › 单片机水流量检测(测试)设计

单片机水流量检测(测试)设计

单片机水流量检测(测试)设计
单片机水流量检测(测试)设计

单片机课程设计

题目水流量显示器

学院电子工程学院

专业自动化

班级

学号

姓名

组员

指导教师

2013年5 月

引言 (3)

1. 任务设计 (4)

2. 系统硬件电路的设计 (5)

2.1主芯片STC89C52 (5)

2.1.1主要性能 (5)

2.1.2芯片功能特性简述: (5)

2.1.3引脚功能 (6)

2.2时钟电路 (7)

2.3复位电路 (7)

2.4液晶显示电路 (8)

2.4.1显示特性 (8)

2.4.2引脚说明 (8)

2.4.3接口时序 (10)

2.4.4初始化指令: (12)

2.5水流量测量电路 (14)

2.6按键控制电路 (15)

3. 软件系统的设计 (16)

3.1软件设计总流程 (16)

3.2水流量程序模块 (16)

3.2.1水流量的读取程序 (17)

3.3显示程序 (18)

4. 总结 (19)

参考文献 (20)

附件1. 原理图 (21)

附件3 仿真图 (22)

5. 程序 (23)

引言

随着现代社会的进步,经济的发展,人们对精神领域的追求更高,对生活水平的要求更高。现代的家居生活是一种高品位、高质量、个性化、智能化的方式。本系统就是基于STC89C52单片机控制的智能家居系统,可以实际监控室内各种不同的家电设备,并能通过液晶屏动态显示当前工作状态。该系统与传统的智能家居系统相比,具有功能多样化、成本造价低等优点,且符合当今社会智能、节能、环保的发展观念,并在人们享受高品位、高质量、个性化、智能化生活的同时提高人们的节约意识。由于智能家居系统有众多模块,本课题只采取其中的水流量模块进行单独设计。

关键词:单片机水流量传感器

1. 任务设计

当打开水龙头时,根据单片机STC89C52的指令、水流量计传感器采集水流量状态。当单片机STC89C52扫描到水流量计传感器的脉冲数,经过单片机STC89C52处理,计算出所采集的水流量后,通过液晶屏LCD1602能动态显示当前水流量。

2. 系统硬件电路的设计

2.1主芯片STC89C52

2.1.1主要性能

1)与MCS-52单片机产品兼容、8K字节在系统可编程Flash存储器

2)1000次擦写周期

3)全静态操作:0Hz~33Hz

4)三级加密程序存储器

5)32个可编程I/O口线

6)三个16位定时器/计数器八个中断源

7)全双工UART串行通道

8)低功耗空闲和掉电模式

9)掉电后中断可唤醒

10)看门狗定时器

11)双数据指针

12)掉电标识符

2.1.2芯片功能特性简述:

STC89C52 是一种低功耗、高性能CMOS8位微控制器,具有 8K 在系统可编程Flash 存储器。使用高密度非易失性存储器技术制造,与工业80C51 产品指令和引脚完全兼容。片上Flash允许程序存储器在系统可编程,亦适于常规编程器。在单芯片上,拥有灵巧的8 位CPU 和在线系统可编程Flash,使得STC89C52为众多嵌入式控制应用系统提供高灵活、超有效的解决方案。 STC89C52具有以下标准功能: 8k字节Flash,256字节RAM, 32 位I/O 口线,看门狗定时器,2 个数据指针,三个16 位定时器/计数器,一个6向量2级中断结构,全双工串行口,片内晶振及时钟电路。另外,STC89C52可降至0Hz静态逻辑操作,支持2种软件可选择节电模式。空闲模式下,CPU 停止工作,允许RAM、定时器/计数器、串口、中断继续工作。掉电保护方式下,RAM内容被保存,振荡器被冻结,单片机一切工作停止,直到下一个中断或硬件复位为止。8 位微控制器 8K 字节在系统可编程 Flash。

P1.0

P1.1

P1.2

P1.3

P1.4

P1.5

P1.6

P1.7

RST/V PD P3.0/RXD P3.1/TXD P3.2/INT0 P3.3/INT1 P3.4/T0 P3.5/T1 P3.6/WR P3.7/RD

XTAL2

XTAL1

V SS V CC

P0.0

P0.1

P0.2

P0.3

P0.4

P0.5

P0.6

P0.7

EA/V PP ALE/PROG PSEN

P2.7

P2.6

P2.5

P2.4

P2.3

P2.2

P2.1

P2.0

图2-1 单片机引脚

2.1.3引脚功能

表2-1 STC89C52引脚介绍说明

2.2时钟电路

单片机的最小系统有三部分组成,即电源,时钟电路和复位电路。其中单片机的电源引脚与5V电源连通即可,而时钟电路和复位电路还需接口扩展,这也是单片机的基本电路操作。

时钟电路用于产生单片机工作所需的时钟信号,时序是指令执行中各信号之间的相互关系。单片机本身就如同一个复杂的同步时序电路,为了保证同步工作方式的实现,电路应在唯一的时钟信号控制下严格地按时序进行工作。在STC89C52单片机内部带有时钟电路,因此,只需要在片外通过XTAL1和XTAL2引脚接入定时控制元件(晶体振荡器和电容),即可构成一个稳定的自激振荡器。在STC89C52芯片内部有一个高增益反相放大器,而在芯片的外部,XTAL1和XTAL2之间跨接晶体振荡器和微调电容。在单片机的XTAL1脚和XTAL2脚之间并接一个晶体振荡器就构成了内部振荡方式。STC89C52单片机内部有一个高增益的反相放大器,XTAL1为内部反相放大器的输入端,XTAL2为内部反相放大器的输出端,在其两端接上晶振后,就构成了自激振荡电路,并产生振荡脉冲,振荡电路输出的脉冲信号的频率就是晶振的固有频率。在实际应用中通常还需要在晶振的两端和地之间各并上一个小电容。

图2-2 时钟电路

用晶振和电容构成谐振电路。电容大小与晶振频率和工作电压有关。但电容的大小影响振荡器的稳定性和起振的快速性,为了提高精度,本实验板采用20pF 的电容作为微调电容。在设计电路板时,晶振、电容等均应尽可能靠近芯片,减小分布电容,以保证振荡器振荡的稳定性。

2.3复位电路

复位是单片机的初始化操作,其目的是使CPU和系统中各部分处于一个确定的状态,并从这一状态开始工作。系统上电路或死机后都要进行复位操作。单片机的RST引脚为复位引脚,振荡电路正常工作后,RST端加上持续两个机器周期的高电平后,单片机就被复位。复位电路有3种基本方式:上电复位,开关复位和看门狗复位。

图2-3 复位电路

本课题采用按键开关复位是指通过接通按钮开关,使单片机进入复位状态。开关复位电路一般不单独使用。在应用系统设计中,若需使用开关复位电路,一般的做法是将开关复位与上电复位组合在一起形成组合复位电路,上电复位电路完成上电复位功能,开关复位电路完成人工复位。

图2-3中C7与R1构成了上电复位电路。上电复位后,电源经R1对C7充满电源,C7等效于开路,RST端为低电平;单片机正常工作。按开关K1后,C7两端电荷经R1迅速放电,K1断开后,由C7、R1及电源完成对单片机的复位操作。在上述电路中C7、R1按上电复位电路的设计而取值。

复位电路的作用非常重要,能否成功复位关系但单片机系统能否正常运行的问题。如果振荡电路正常而单片机系统不能正常运行,其主要原因是单片机没有完成正常复位,程序计数器的值没有回0,特殊功能寄存器没有回到初始状态。这时可以适当地调整上电复位电路的阻容值,增加其充电时间常数来解决问题。

2.4液晶显示电路

课题任务要求以LCD1602芯片显示单片机处理后的温度、水费和水流量,在此有必要详尽的介绍LCD1602的特性和用法。

2.4.1显示特性

只需5V 电源电压,低功耗、长寿命、高可靠性

内置 192 种字符(160个 5×7 点阵字符和 32 个5×10 点阵字符)

具有 64 个字节的自定义字符 RAM

显示方式:STN、半透、正显

驱动方式:1/16DUTY,1/5BIAS

视角方向:6点

背光方式:底部 LED

通讯方式:4位或 8 位并口可选

标准的接口特性:适配MC51 和M6800 系列MPU的操作时序。

2.4.2引脚说明

2.4.3接口时序

图2-5 时序图

表2-3 液晶1602时序图标号说明

程序实现如下:

/************************写指令程序************************/ void wr_com(unsigned char com) //写指令

{

delay(1); //延时1ms

RS=0; //写命令设置

RW=0; //并行数据的读写

EN=0; //使能为0

P2=com; //输入命令

delay(1); //延时1ms

EN=1; //使能为1

delay(1); //延时1ms

EN=0; //使能为0

}

/**********************写数据程序***********************/ void wr_dat(unsigned char dat)// 写数据

{

delay(1); //延时1ms

RS=1; //写数据设置

RW=0; //并行数据的读写

EN=0; //使能为0

P2=dat; //输入数据

delay(1); //延时1ms

EN=1; //使能为1

delay(1); //延时1ms

EN=0; //使能为0

}

2.4.4初始化指令:

清除液晶显示器,即将DDRAM的内容全部填入"空白"的ASCII码20H; 光标归位,即将光标撤回液晶显示屏的左上方;

将地址计数器(AC)的值设为0。

把光标撤回到显示器的左上方;

把地址计数器(AC)的值设置为0;

保持DDRAM的内容不变

表2-6 进入模式设置指令

是否移动。

表2-7 显示开关控制指令

表2-8 设定显示屏或光标移动方向指令

表2-9 功能设定指令

表2-10 设定CGRAM 地址指令

功能:设定下一个要存入数据的CGRAM 的地址。

表2-11 设定DDRAM 地址指令

(注意这里我们送地址的时候应该是0x80+Address ,这也是前面说到写地址命令的时候要加上0x80的原因)

表2-12

读取忙信号或AC 地址指令

读取忙碌信号BF 的内容,BF=1表示液晶显示器忙,暂时无法接收单片机送来的数据或指令;当BF=0时,液晶显示器可以接收单片机送来的数据或指令; 读取地址计数器

(AC)的内容。

表2-13 数据写入DDRAM 或CGRAM 指令一览

将字符码写入DDRAM ,以使液晶显示屏显示出相对应的字符;

将使用者自己设计的图形存入CGRAM。

单片机和LCD液晶显示器的连接

图2-6 液晶显示电路

2.5水流量测量电路

课题任务中最重要的是水流量的测量。通过水流量传感器的数据采集,根据商家的水流量传感器的参数可以得出单片机在运算时的数据。

水流量传感器参数:

环境温度:-10~55℃

流量计算在流量为:0.2~0.4L/Min时,1L=2100次;0.5~0.8L/Min时,1L=2280次;0.9~1.2L/Min时,1L=2350次;1.2~2.5L/Min时,1L=2460次; (脉冲次数在流量变化时有一定程度的变动)

接线方法白线:信号输出;黑线:电源负(也可按客户要求定做)

测量精度:±5%(在流量稳定的系统,精度可达±2%)

工作电压:DC0~~24V

工作压力:≤100PSI(7kg/cm)

耐湿性能:在环境湿度为90%以下时性能保持稳定

寿命测试:本产品用进口干簧管作感应元件,在负荷小于24V 1mA前提下, 开关寿命大于3亿次。

图2-15 水流量测量电路

2.6按键控制电路

课题设计之初,要求基本实现的功能有水流量的控制、水价的改变。由于液晶显示屏不能够完全实现其显示,故又增加了换页功能,共三个控制键。

图2-16 按键电路

3. 软件系统的设计

3.1软件设计总流程

程序要求液晶显示有当前水流量,总流量。水流量的测量由开关控制。由于LCD1602的显示屏幕有限,所以又把程序设计成可以翻页的形式。翻页也用开关控制。由于在两个界面里面都显示水温,故在显示程序里加入测量水温程序也未尝不可。整个程序中结构较为简单,但其中也有几个重要而且比较费脑筋的子程序,包括水测量程序、水流量数据的计算转换程序。

在程序中可以分为2个主要模块:水流量模块,显示模块如图3-1所示:

图3-1 总流程图

3.2水流量程序模块

水流量的测量主要依靠对得到的频率处理,由流量计在一段时间下产生高电平的个数决定,即Q(流量)=F(频率)/R(商家设定值)所以只要在单片机中设定一定的时间,并在该时间之下计算出得到的高电平即可。在本程序中STC89C52的两个定时器T0为计数状态,T1 为计时状态,这样方可测量流量。

3.2.1水流量的读取程序

中断程序运行的时间到,就可以读取计数器中的数值,将下数值读出后把计数器赋值为0,等待下一次的取出,然后进入对读出数据的处理程序。如图3-4所示:

图3-2水流量数据的处理程序

在预定时间到,即已经取出了定时器的数值。我们计算的水流量最大是以每吨来计算并显示的,故一个整形或长整型的数不够,故先把它放在了一个int变量的存储空间内,做水流量的前三位,在定义一个长整型的数,把它作为水流量的后六位,这样计算起来也比较容易,数据也不会起冲突,也是为将要计算水价做准备。设定该水流量的最大计数为250吨,超过了定值,则会从0开始。如图3-5所示:

图3-3 水流量数据处理流程图

3.3显示程序

在程序中只是计算出流量值,温度值以及和资源分配的情况,一些字母的显示,都要另加,这样才能尽善尽美的表达出显示出来的意思。所以在主程序中不必再测量温度。在第一页主要显示的是总水流量的后三位,最后以t结尾。第二页主要当前水流量的前三位,最后以kg结尾。

4. 总结

在为期两周的实训中,我学到了很多,在整个过程中,包括最开始的课题设计、焊接、程序编写,到最后的调试我都参与其中。可以这么说,在这两个星期中学到的东西比我两个月学到的还要多。

在最开始设计课题时,查了很多资料,经过组员的讨论,最终确定了现在这个方案。在焊接的过程中,基本上没出什么问题,一切都很顺利的进行着。在编写程序以及调试的过程中出现了一些问题,比如:当有水流过时,传感器多计数的脉冲达不到我们设计时的要求,所以经过了多次修改,最终实现了功能。

在整个过程中要特别感谢丁文斌老师的指导,没有他耐心的指导,我们是不会做的这么好的。

参考文献

[1] 张菁,基于单片机水流量控制系统方案的研究。2007(9)。

[2] 楼然苗,51系列单片机设计实例. 北京航空航天大学出版社,2003。

[3] 苏铁力、关振海等.传感器及其接口技术[M].中国石化出版社,1998.

[4] 肖晴,液晶显示流量的控制,2005(2)。

[5] 谭浩强,C程序设计(第二版). 清华大学出版社. 1999年12月第2版

使用D触发器设计一个11001序列检测器介绍

讨论使用D触发器设计一个11001序列检测器,讨论序列可交迭(Overlap)检测和不可交迭检测在设计上的区别,讨论分别采用Mealy机设计和采用Moore机设计的区别,讨论未用状态的处理问题。 【要求】给出电路原理图或HDL代码,要求进行仿真,并给出仿真结果。 1.原件介绍 D触发器(74LS74)、“与”门(74LS08)、“或”门(74LS32)、“非”门(74LS04),集成电路引脚

2.设计思路 根据要求,设计的序列检测器有一个外部输入x和一个外部输出Z。输入和输出的逻辑关系为:当外部输入x第一个为"1",外部输出Z为"0";当外部输入x 第二个为"1",外部输出Z为"0";当外部输入第三个x为"0",外部输出Z为"0",当外部输入第四个x为“0”,外部输出Z为0,当外部输入第五个x为“1”,外部输出Z为“1”。假定有一个外部输入x序列以及外部输出Z为: 输入X 0 1 1 1 0 0 1 0 1 输出Y 0 0 0 0 0 0 1 0 0 要判别序列检测器是否连续接收了"11001",电路必须用不同的状态记载外部输入x的值。假设电路的初始状态为A,x 输入第一个"1",检测器状态由A装换到B,用状态B记载检测器接受了"11001"序列的第一个"1",这时外部输出Z=0;x输入第二个"1",检测器状态由B装换到C,用状态C 记载检测器接了“11001”序列的第二个"1",外部输出Z=0;x输入第三个"0",检测器状态由C装换到D,外部输出Z=0;x输入第四个为“0”,检测器状态由D装换到E,外部输出Z=0;x输入第五个为“1”,检测器状态由E装换到F,外部输出Z=1。然后再根据外部输入及其他情况时的状态转移,写出相应的输出。以上分析了序列检测器工作,由此可画出原始状态图。根据原始状态图可列出原始状态表。 状态转换表 A B D C E F 1\0 1\0 0\0 0\0 1\1 0\0 0\0 1\0 1\0 0\0 0\0

频率检测电路设计

.. 频率检测电路的设计 摘要 本设计主要采用单片机来控制各单元电路实现频率检测器。本设计由红外对管计数电路、STC89C52单片机系统电路、复位电路和数码显示电路组成,首先由红外对管计数电路将接收的信号转换为电脉冲信号,由单片机计数,再由数码管显示当前实时频率。 本设计利用两个对管电路,实现了正负频率,性能稳定,可靠,系统安装简单,实现自动检测。电路的功能虽然简单,但它是工业频率检测早期的锥形,通过最简单的电路实现复杂的计件功能必将成为今后工业发展方向的指向。 关键词STC89C52单片机;红外对管;频率检测;数码显示电路 目录 1 引言2 2 总体电路设计及方案设计错误!未定义书签。 2.1 总体电路设计及分析错误!未定义书签。

.. 2.2 方案设计错误!未定义书签。 3硬件单元电路设计5 3.1 STC89C52简介5 3.2 单片机最小应用系统电路6 3.3红外对管计数电路(如图3所示)7 3.4 74HC573锁存器8 3.5 复位电路9 3.6 红外对管频率检测电路原理10 图612 4单片机频率检测程序设计12 参考文献14 附录1 整机电路图15 1 引言 在电子技术飞速发展的今天,电子产品的人性化、智能化和自动化的发展已经非常成熟了,其发展前景仍然不可估量而且非常可观。随着人们生活水平的日益提高,人们越来越追求人性化、智能化和自动化的事物,人们需求的是一种能给生产和生活带来非常方便和便利的电子产品。本题目使用红外对管检测传送带上的物体并进行频率检测,当物体挡住了

红外发射管时频率检测器启动,判断计数方向。首先由红外对管计数电路将接收的低电平信号传到单片机的IO端口,由单片机进行计数,并通过数码管进行显示。通过发红外对管1、2低电平出现的顺序来判断物体移动方向。频率计算周期可根据传送带移动速度确定。 2 总体电路设计及方案设计 2.1 总体电路设计及分析 整个系统由七个部分组成:红外对管计数电路、STC89C52单片机系统电路、复位电路、锁存器和显示电路,其工作原理框图如图1所示: ..

设计一个1010的序列检测器

二、设计一个1010的序列检测器,检测到1010时输出为“1”否则为“0”,用D触发器实现。 第一步:根据要求进行逻辑抽象,得出电路的原始状态转换图和状态转换表。 取输入数据变量为X,检测的输出变量为Z, 该同步时序逻辑电路的功能是检测输入序列是否为1010,一旦输入序列出现一个1就记下来,因为这个1可能是1010序列的第一个1,;接着看输入是否为0,因为10是序列1010的前两位;其次再看输入是否为1,因为101是1010序列的前三位;最后再输入一个0,输出则为1,因为出现了一个1010序列,泽电路必须记住1,10,101,1010四种输入情况,每一种输入情况应与电路的一个状态相对应。 根据题意,设电路随机的输入和输出序列为: X:0 1 0 1 0 0 0 1 0 1 0 1 0…… Z: 0 0 0 0 1 0 0 0 0 0 1 0 0…… 该电路仅有一个输入端,每个现态有两个可能转移方向,设电路初态为S0,当X=0时,电路仍处在状态S0,当输入一个1以后的状态为S1,输入10以后的状态为S2,输入101以后的状态为S3,输入1010以后的状态为S4。以S n表示电路的现态,S n+1表示电路的次态。 由此得出原始状态转换图和原始状态转换表:

第二步:状态化简: 依据状态等效条件判断得出S0和S4在相同的输入条件下,它们转换到相同的次态去,且有相同的输出,故S0和S4等效,经分析比较,找出最大等效类:{S1},{S2},{S3},{S0,S4}。 由此得出化简的状态转换图和最简状态表: 第三步:状态编码: 最贱状态表共有四种状态,可用两位二进制代码来表示,设状态变量为Q1,Q2,依据状态编码原则,确定S0=00,S1=01,S2=11,S3=10四种状态,其编码后的状态转换图和状态转换表:

幅度频率测量电路地设计(H题)

西安邮电大学TI杯大学生电子设计竞赛 选题名称:幅度频率测量电路的设计(H题) 参赛成员:司朝阳 乔小芳 刘冬 参赛时间: 2013 ,05, 10

幅度频率测量电路的设计(H 题) 一、 系统方案: 用单片机实现。目前单片机种类很多,单片机功能越来越强,根据设计要求选用TI 公司的MSP430单片机,该芯片内含2个16为定时/计数器,能最大限度的简化频率计外围器件。MSP430还有全功能串行口、程序存储器等,因此该方案具有硬件构成简单、功能灵活、易于修改等有点。 图1(系统框图) 如图1所示,被测正弦波信号经过放大整形电路,得到方波信号;在通过MSP430G2553单片机进行定时Ts 内产生方波N 个(又f=N/T ),从而达到测频的目的与要求,最后在数码管上显示频率值。 幅度频率测量电路设计任务方框图: 图2(系统框图) 二、单元电路设计 2.1.波形变换电路 采用过零比较器进行波形变换。将输入的正弦波变换为同频率的方波信号。电路简单可以同时满足0.5V 和5V 的幅值信号输入 形完全不失真,输出电压为3.2V 如附件图2所示, 是用LMV393比较器组成的过零比较器。 带通滤波器 幅度—频率测量电路 显示 正弦信号产生器 放大 整形 电路 MSP430G2553控制电路 显示 输入 信号

因为这款芯片属于TI公司的,所以是首选。还有就是对这款芯片进行测试测试。当输入1Hz-10MHz时,输入方波很稳定。 2.2.MSP430G2553单片机最小系统电路。 幅度测量电路: 幅度测量电路利用幅频转换电路,将幅度转换为频率进行测量,主要利用AD820芯片、AD654芯片完成。电路框图如图l所示。 图1幅频转换电路框图AD820[1】是单双电源、低功耗、精密场效应输人的运算放大器,采用双电源工作时,它的输出电压能够达到电源的正负电源电压。设计中考虑到由于运算放大器AD820输入级采用N沟道的场效应晶体管,在正常工作时,输入电流是负的,如果输入端电压大于(V。一0.4V),则使器件内部结点变成正向偏置,输入电流方向相反。为了防止产生这种现象,设计时在输入端串联一个电阻(典型值在1 kQ~10 kfl之间),但此电阻也会产生噪声电压,影响测量的精度。在本设计中选用了500电阻。同时,该电阻还能起限流作用,防止输入电压大于(V。+0.4 V)时,运算放大器由于输入电流过大而损坏器件。在本设计中采用单电源工作模式,运算放大器的输人端也允许输入负电压信号,而不损坏器件。AD654[2J芯片是一种低成本电压频率(V/F)转换器芯片,使用时只需~个RC网络,即可构成应用电路。电路设计中通过AD654的6、7脚之间的电容C1,AD820正向输入端3脚的串联电阻R l和RRPl来调节电压与频率的关系,参见图1。RRPl是可调的滑动变 阻器,通过调节其值的变化来调节电压与频率的关系,其转换关系如式(1)所示。 如=Ui[10×Cl×(Rl+RRPI)J 设计选用R1_200 l①,Rp,pl=500 Q,Cl=1 nF,幅度与频率的关系约为fo=Ui/(2×103)(knz)。所以最后由数码管频率的显示值可以很方便地得出幅度值。 频率测量电路: 本设计对正弦波频率的测量选用了计数法中的电子计数式的测量方式[3|。原理是根据频率的定义:周期信号在单位时间内变化的次数,即若在一定的时间间隔T内记录这个周期性信号的重复变化次数为J7、r,则其频率可表示为F=N/T。其测量的原理框图下图2所示。图2测量正弦频率的原理框图

《自动检测技术及应用》课程设计题

《自动检测技术与应用》课程 课程设计题目参考 (可参考本教材有关章节内容和作业选择和实施)利用铂热电阻测控电烘箱温度 利用热敏电阻测量气体流速(流量) 煤气报警器的设计 酒后驾车测试仪的设计 利用温度传感器控制养鸡场的室内温度 磁电式转速表的设计 向日葵日生长量测量仪的设计 非磁性物体中混杂金属物的检测 多功能警棍的设计 自行车车速及累计公里数的设计 镀锌铁丝流水线“断线故障”报警 利用电容压力传感器测量水井水位 绝缘薄膜厚度测试仪的设计 种子含水量测试仪的设计 车床振动故障诊断 振动式粘度计的设计 超声波在钢材焊缝探伤中的应用 汽车倒车防碰雷达的设计 车库大门遥控开启装置的设计 盲人防撞导路棒的设计 机车轮毂探伤仪的设计 霍尔传感器在磁性材料探测中的应用 霍尔交直流钳型表的设计 工件直径、材质分选系统的设计 安全栅的设计 利用热电偶测控电烘箱温度 利用热力学法对可逆式水泵水轮机进行现场效率试验带材纠偏系统的设计 自来水流量测量仪的设计 手持式风速、风向仪的设计 带材卷取张力测控系统的设计 人体感应式接近开关的设计 水平仪的设计 汽车雨刷自动开关的设计 水龙头(或冲便器)自控电路的设计 冲床手指保护系统的设计 带材宽度在线检测系统的设计 路灯光控开关的设计 光电式转速表的设计

热释电红外防盗报警器的设计 车厢香烟烟雾自动排气装置的设计 盲人亮光方向仪的设计 流水线产品计数装箱系统的设计 光电式脉搏、血液粘度测试仪的设计 纸张白度的测量仪的设计 酒店大堂机器人迎宾小姐的测控电路设计 宾馆玻璃旋转门的自动起停、安全保护系统的设计 电子式自来水表的设计 模具的数字化逆向制造 人体身高和体重测量装置的设计 普通车床改造为专门用于车削螺栓的简易数控车床设计热电偶抗干扰放大器的设计 两线制仪表的电路设计 虚拟仪器在汽车试验中的应用 智能楼宇中的地下停车场监控系统的设计 小区围墙周边警界系统的设计 小区防盗系统的设计 酒店客房火灾报警系统的设计 酒店客房房门开启系统的设计 无害化蔬菜生产大棚的测控系统设计 学生食堂全自动煮饭机的测控电路设计

基于单片机气体流量测量仪设计

目录 引言 (1) 1总体方案设计 (2) 1.1 本设计的任务 (2) 1.2总体设计框图 (2) 2 系统的硬件电路设计 (3) 2.1 硬件模块介绍 (3) 2.1.1 CPU (AT89S51) (3) 2.1.3电源设计 (8) 2.1.4键盘设计 (9) 2.1.5复位电路设计 (10) 2.1.6 A/D转换电路 (10) 2.1.7 步进电机控制接口电路 (14) 2.1.8 气体流量采集原理 (16) 2.2总原理图 (18) 2.3 PCB图 (19) 3 系统软件设计 (19) 3.1 主程序设计 (20) 3.2 流量控制子程序 (20) 3.3 中断服务子程序 (25) 3.3.1 设定值输入程序 ................................ 错误!未定义书签。3.3.2 定时器中断子程序 . (27) 3.3.3 数码管显示子程序 (28) 3.3.4 步进电机控制程序 (29) 4结论 (30) 致谢 (31)

基于单片机气体流量测量仪设计 摘要:本设计电路是以AT89S51单片机为控制核心。它除了具备微机CPU的数值计算功能外,还具有灵活强大的控制功能,以便实时检测系统的输入量、控制系统的输出 量,实现自动控制。整个系统硬件部分包括气体流量测量,自激式A/D转换器,按 键电路,驱动电路,时序电路,和8段译码器,LED数码显示器。在配合用汇编语 言编制的程序使软件实现,实现气体流量智能转换的基本功能。本控制电路成本低 廉,功能实用,操作简便,有一定的实用价值。本文从3个方面展开论述,首先是 硬件电路的描述;接着软件部分的设计;最后实现功能。 关键词:AT89S51单片机流量控制数码管 LED数码显示 引言 目前单片机的应用已深入到国民经济的各个领域,对各行各业的技术改造和产品的更新换代起着推动作用,以前没有单片机时,气体流量测量仪也能做,但是只能使用复杂的模拟电路,然而这样做出来的产品不仅体积大,而且成本高,并且由于长期使用,元器件不断老化,控制的精度自然也会达不到标准。在单片机产生后,我们就将控制这些东西变为智能化了,我们只需要在单片机外围接一点简单的接口电路,核心部分只是由人为的写入程序来完成。这样产品的体积变小了,成本也降低了,长期使用也不会担心精度达不到了。 当今社会,随着科学技术的快速发展,自动控制在人们的生活中可以说“无孔不入”,小到遥控儿童玩具,大到冰箱空调的智能化,都体现了科学技术的进步。特别是单片机(Single-Chip Microcomputer SCM)技术的应用,不但降低了生产成本,同时也方便了消费者,使操作简洁、安全。单片机的应用使许多复杂的事情,都能够简单、方便的实现了。用单片机控制的器件,充分发挥单片机体积小,价格便宜,功耗低,可靠性好等特点,充分发挥了单片机的控制优势。本设计可用于气体流量控制,方便了广大用户。 本设计是一个具有自动控制气体输入的气体流量测量仪。由时钟电路、显示电路、驱动电路、控制电路四部分组成。现代机关企业以,特别是家庭对暖气、液化气等的需求逐渐增多,供暖、供气的自动控制为这些企业节省了大量的人力物力。本设计实现了这些功能,给供暖及其他相关企业带来方便,整体性好,人性化强、可靠性高,实现了对气体流量控制的智能化。

1011序列检测器

综合设计性实验报告 题目: 学生姓名: 学号: 班级: 指导教师: 学期:2010——2011第2学期

目录 一基本知识点 (1) 二实验器件 (1) 三设计思路 (1) 四设计过程 (2) (一)三位二进制减法计数器(无效状态000,001) (二)5 五引脚功能 (9) 六逻辑电路图: (11) 七实验结果波形图 (12) 八设计心得体会 (12)

一基本知识点 1、掌握时序电路的设计方法和步骤 2、掌握触发器的设计与应用 3、掌握移位寄存器的原理与应用 4 熟悉集成电路的引脚排列; 5 掌握芯片的逻辑功能及使用方法; 6 了解序列产生及检测器的组成及工作原理 7 会在EWB软件上进行仿真; 二实验器件 1、移位寄存器74LS194 1片 2、负边沿JK触发器74LS112 1片 3四输入与非门74LS20 1片 4、六输入非门74LS05 1片 5 电源一个 6 地线一个 7 与门,或门,非门若干个 8 时钟脉冲一个 三设计思路 1作原始状态表。根据给定的电路设计条件构成原始状态表和状

态转化图 2状态表的简化。原始状态表通常不是最小化状态表,它往往包括多余的状态,因此必须首先对它进行简化。 3状态分配。即对简化后的状态给以编码。这就要根据状态数确定触发器的数量并对每个状态指定一个二进制数构成的编码。 4根据给定的电路设计条件选择触发器根据 5 作激励函数和输出函数。根据选用的触发器激励表和电路的状态表,综合出电路中各触发器的激励函数和电路的输出函数。 ⑸6画逻辑图,并检查自启动功能 四设计过程 (一)101101001信号发生器的设计 设计一个信号序列发生器,在产生的信号序列中,含有“1011”信号码,要求用一片移位寄存器,生成信号序列“10110100”,其中含有1011码,其设计按以下步骤进行:、、 1本实验所用仪器为移位寄存器74LS194,确定移存器的位数n。因M=9,故n≥4,用74LS194 的四位。 2确定移存器的九个独立状态。将序列码101101001按照每四位一组,划分为九个状态,其迁移关系如下所示: 3作出状态转换表及状态转换图如下:

八位序列检测器设计

八位序列检测器设计 班级:1302012 学号: 姓名:郭春晖

一、设计说明 使用quartus软件进行仿真和验证,并且还可以检测其他的序列,只需要修改一部分代码就可以实现。 二、方案 工作原理:基于FPGA的多路脉冲序列检测器的设计方案,使用VHDL语言设计时序逻辑电路,先设计序列发生器产生序列:01010;再设计序列检测器,检测序列发生器产生序列,若检测到信号与预置待测信号相同,则输出“1”,否则输出“0”,并且将检测到的信号的显示出来。 三、单元模块设计 1、序列信号发生器 序列信号是指在同步脉冲作用下循环地产生一串周期性的二进制信号。利用状态机设计,首先定义一个数据类型FSM_ST它的取值为st0到st15的16个状态。 序列信号发生器的代码如下:

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY SHK IS PORT (CLK,RST :IN STD_LOGIC; CO :OUT STD_LOGIC ); END SHK; ARCHITECTURE behav OF SHK IS TYPE FSM_ST IS (s0,s1,s2,s3,s4,s5,s6,s7,s8,s9,s10,s11,s12,s13,s14,s15); SIGNAL REG:FSM_ST; SIGNAL Q:STD_LOGIC; BEGIN PROCESS(CLK,RST) BEGIN IF RST ='1' THEN REG<=s0;Q<='0'; ELSIF CLK'EVENT AND CLK='1' THEN CASE REG IS WHEN s0=> Q<='1'; REG<=s1; WHEN s1=> Q<='0';REG<=s2; WHEN s2=> Q<='1';REG<=s3; WHEN s3=> Q<='1';REG<=s4; WHEN s4=> Q<='0';REG<=s5; WHEN s5=> Q<='1';REG<=s6; WHEN s6=> Q<='0';REG<=s7; WHEN s7=> Q<='0';REG<=s8; WHEN s8=> Q<='0';REG<=s9; WHEN s9=> Q<='1';REG<=s10; WHEN s10=> Q<='1';REG<=s11; WHEN s11=> Q<='0';REG<=s12; WHEN s12=> Q<='1';REG<=s13; WHEN s13=> Q<='0';REG<=s14;

模拟信号幅度与频率测量电路的设计及仿真

?计算机与通讯技术? 模拟信号幅度与频率测量电路的设计及仿真 王迎辉1 庞巨丰1 韩 焘2 (1.西安石油大学 陕西西安) (2.中国石油集团测井有限公司长庆事业部 陕西西安) 摘 要:文章介绍一种测量模拟信号幅度与频率的电路。电路设计思想是利用最基本的元器件,直观地反映出所要测量对象的数值。电路主要包括幅度测量和频率测量,对幅度测量选用了幅频转换电路,将幅度转换为频率进行测量;对频率的测量采用电子计数式的测量方式,将其值通过数码管显示出来。对电路的整体仿真是通过Proteus 仿真软件和 K eil 编程软件联机完成的。 关键词:幅度;频率;测量;K eil 软件;Proteus 软件 中图法分类号:T N7 文献标识码:B 文章编号:100429134(2010)0120069202 0 引 言 对于一般信号,幅度、频率、相位还有频谱特征等都是它的特征量。由于电压、时间和频率测量具有其它测量所不可比拟的精确性,因此人们越来越关注把其它待测量转换成电压或频率进行测量的方法和技术,更关注幅度与频率测量的方法,以求得更简便、更精确的测量方法与技术。同时,频率和幅度测量仪器在生产和科研的各个部门使用,也是某些大型系统的重要组成部分,而且利用单片机的定时功能设计的信号频率和幅度测量仪,可方便嵌入系统中。 1 幅度测量电路 幅度测量电路利用幅频转换电路,将幅度转换为频率进行测量,主要利用AD820芯片、AD654芯片完成。电路框图如图1所示 。 图1 幅频转换电路框图 AD820[1]是单双电源、低功耗、精密场效应输入的 运算放大器,采用双电源工作时,它的输出电压能够达 到电源的正负电源电压。设计中考虑到由于运算放大器AD820输入级采用N 沟道的场效应晶体管,在正常工作时,输入电流是负的,如果输入端电压大于(V s -0.4V ),则使器件内部结点变成正向偏置,输入电流方 向相反。为了防止产生这种现象,设计时在输入端串 联一个电阻(典型值在1k Ω~10k Ω之间),但此电阻也会产生噪声电压,影响测量的精度。在本设计中选 用了500电阻。同时,该电阻还能起限流作用,防止输入电压大于(V s +0.4V )时,运算放大器由于输入电流过大而损坏器件。在本设计中采用单电源工作模式,运算放大器的输入端也允许输入负电压信号,而不损坏器件。 AD654[2]芯片是一种低成本电压频率(V/F )转换器芯片,使用时只需一个RC 网络,即可构成应用电路。电路设计中通过AD654的6、7脚之间的电容C1,AD820正向输入端3脚的串联电阻R 1和R RP1来调节电压与频率的关系,参见图1。R RP1是可调的滑动变阻器,通过调节其值的变化来调节电压与频率的关系,其转换关系如式(1)所示。 f 0=Ui [10×C 1×(R 1+R RP1)] (1)设计选用R 1=200k Ω,R RP1=500Ω,C 1=1nF ,幅度与频率的关系约为f 0=Ui/(2×103)(kHz )。所以最 后由数码管频率的显示值可以很方便地得出幅度值。 2 频率测量电路 本设计对正弦波频率的测量选用了计数法中的电 子计数式的测量方式[3]。原理是根据频率的定义:周期信号在单位时间内变化的次数,即若在一定的时间间隔T 内记录这个周期性信号的重复变化次数为N ,则其频率可表示为F =N /T 。其测量的原理框图如  第一作者简介:王迎辉,男,1983年生,西安石油大学精密仪器及机械专业硕士研究生。邮编:710065 ? 96? 2010年 第24卷 第1期 石 油 仪 器 PETROLEUM INSTRUMENTS

检测技术课程设计

检测技术课程设计 一、课程设计的目的 综合应用已修课程所学知识,完成被测信号的提取、转换、处理的一次综合性设计实践。它的作用如下: 获得工程师基本训练,培养学生综合运用所学理论和技术知识,解决工程实际问题的能力。 (1)提高学生查阅科技文献资料能力。 (2)开发学生的主观能动性与创造性。 (3)加深学生对课程内容的理解,拓展所学知识面。 (4)使学生初步建立正确的设计思想。掌握系统的设计方法和设计步骤。 二、课程设计时间 检测技术课程设计为1周。 三、课程设计的任务 以任务书的形式给出。 任务书的主要内容有: (1)给予的对象; (2)设计题目; (3)设计要求; (4)撰写的设计报告要求; (5)时间安排。 设计报告内容包括:目录,设计题目,前言,设计方案与设计工艺流程,各部分设计原理,设计计算及说明,器件、仪器设备的选择,设计图纸,参考文献,附录。设计图用专用计算机软件绘制,打印。 四、课程设计报告的一般格式 课程设计报告包括封面、目录、绪论、主体部分、结尾部分。 1、绪论 主要说明设计的目的、设计的任务和要求等。 2、主体部分 (1)总体设计方案的设计

(2)软硬件电路的设计 (3)设计结果(实验数据等) (4)参考文献 2、结束语 阐述本次设计的收获与体会,课题进一步完善的建议与意见。致谢等。如有附录可放在结尾处。

设计题目一电机自动监控系统设计 一、电机控制系统描述 电机作为一种拖动动力设备,在机床加工、运输、电力等领域有着广泛的应用。为了保证电机系统的正常运行,需要通过检测控制装置对它进行监控。重点监控的参数是电机 A、B、C三相线圈的温度、电机轴的径向振动振幅、电机轴的转速。 二、控制要求 上图为电机供电主电路。三相电经过空气开关KQ、交流接触器Z、热继电器PT,加到电机上,当接触器常开触点接通时,电机得电,运转。可以通过控制接触器线圈的方式控制接触器主常开触点的通断。正常接触器线圈得电,接触器主常开触点接通,异常接触器线圈断电,接触器主常开触点断开。 常规电机控制电路如图。 START STOP

流量检测-装置系统设计课程设计

专业综合课程设计 课题:流量计检测装置设计 学院:城南学院 班级:机电0701班 指导老师:陈书涵 学号:2007 学生:邹娟 一检测系统背景介绍 流量计广泛应用于工业生产和人民生活当中,但大都存在体积大、精度低、价格贵等缺点.本文设计的电子巴(靶式)智能流量计,于六十年代开始应用于工业流量测量,主要用于解决高粘度、低雷诺数流体的流量测量,先后经历了气动表和电动表两大发展阶段,SBL系列智能靶式流量计是在原有应变片式靶式流量计测量原理的基础上,采用了最新型电容力传感器作为测量和敏感传递元件,同时利用了现代数字智能处理技术而研制的一种新式流量计量仪 表。其主要由测量管、受力元件(靶片)、感应元件(电容式力传感器,压力传感器,温度传感器)、传递部件、微控制器及其显示和输出部分组成.由于采用了压力工作温度补偿,大大提高了测量精度。

二检测系统设计方案 本作品是一款基于C8051F系列单片机为核心的流量计,给出了硬件组成和软件设计.设计以C8051F单片机为控制模块,选用电子靶式流量传感器,信号调理电路、通信电路、LCD显示等电路.在软件上进行了压力和温度补偿.设计的流量计精度高,抗干扰能力强,使用方便. 三检测系统硬件结构 系统的硬件电路以C8051F206单片机为控制核心,主要有信号的输入通道、微控制器及外围电路、红外通信接口和RS一485通信接口和人机交互界面等部分组成,如图1所示. 图1 以C8051F206单片机为核心的硬件框图 ① C8051F206的A/D转换模块 C8051F206的A/D转换模块是利用C8051F206的片内12位分 辨率的ADC转换模块和可编程增益放大器.当工作在100ksps 的最大采样速率时,提供真正的12位精度和±2 L SB的模数

序列检测器的一种简化实现算法

第8卷第6期石家庄学院学报Vol.8,No.62006年11月JournalofShijiazhuangUniversityNov.2006序列检测器的一种简化实现算法 李俊红,解建军 (河北师范大学数学与信息科学学院,石家庄050016) 摘要:分析了序列检测器的内部原理,给出它的一种新硬件实现.利用它无需对状态图进行状态化简,极大地简化了时序线路的设计.最后结合具体实例说明了该设计思想的详细步骤和具体实现方法. 关键词:子串;主串;序列检测器 中图分类号:TP16文献标识码:A文章编号:1673-1972(2006)06-0063-03 1序列检测器原理 序列检测是指将一个指定的序列从数字流中识别出来,或在主串中查询相应子串,一般可以通过软件方法或时序电路即硬件方法实现.有关软件实现方法的研究可参见文献[1],本文主要针对时序电路进行讨论.用硬件方法实现序列检测器时,检测器中存储模式串,主串可以通过输入端流入检测器[2,3].在主串的输入过程中,检测器可以动态检测子串.检测器利用时序线路记忆已检测出的有效序列,并与自身所含的模式串进行比对,若检测成功,输出端自动输出成功标记[4].设计一个“11100”序列检测器,当识别到一组序列时,输入一个高电平.由于采用时序线路,主串的内容应每给一个上升沿或下降沿输入一位,具体应视所选触发器类型而定. 我们提出一种新硬件实现方法,在该方法中对每一个状态都根据实际意义给予特殊的含义,具体含义在后面的实例中再加以说明,由于不存在重复状态,故最终的状态图不用化简. 序列检测器的初态是指被检序列的第一位出现前的特定状态,此状态后如果输入的代码对检测有效(即被测序列的第一位),则相应次态为新的状态(第2个状态,它记住了被测序列的第一位),否则相应次态仍为初态.第2个状态是指被检序列的第一位出现后的特定状态,此状态后如果输入的代码对检测有效,(即被测序列的第2位)则相应次态为新的状态(第2个状态,它记住了被测序列的前2位),否则判断最近输入的代码是否是被检序列的第一位,是则相应次态仍为第2个状态,否则相应次态为初态.以次类推,第i个状态记住了被检序列的前i-1位,相应次态确定方法如下: 假设序列长度为n,当i<n时,如果第i个状态后输入的一位代码是被检序列的第i位,则次态为新的状态(记住了被检序列的前i位),否则次态按如下规则选择:从初态开始输入的i位代码中如果其中的后i-j位为被检序列的前i-j位,则次态为第i-j+1个状态(j=1,2,...,i-1,找到次态即停止),否则次态为初态.此时所有的外输出均为‘0’. 当i=n时,第n个状态已经记住了被检序列的前n-1位,此状态后输入的一位代码如果是被检序列的第n位,则外输出为‘1’,否则外输出为‘0’,其次态按如下规则选择:从初态开始输入的n位代码中如果其中的后n-j位为被检序列的前n-j位,则次态为第i-j+1个状态(j=1,2,...,n-1,找到次态即停止),当j=n时,次态为初态. 按上述方法构造的原始状态转移图中恰好含n个状态,且每个状态都有确定的含义,避免了其设计过程中,构造原始状态转移图繁杂,化简原始状态转移图麻烦的弊端,设计时既逻辑清晰,又不用化简,从而极大地简化了该类线路的设计. 收稿日期:2005-12-09 基金项目:河北省石家庄市科学研究与发展计划项目(05213570);河北师范大学青年基金资助(L2005Q02) 作者简介:李俊红(1971-),女,山西运城人,河北师范大学数学与信息科学学院讲师,硕士,研究方向:并行逻辑模拟,计算机系统结构.

实验四8序列检测器的设计

实验四序列检测器的设计 一、实验目的 1)了解序列检测器的工作原理 2)熟悉MAX+plusII软件的基本使用方法 3)熟悉EDA实验开发的基本使用方法 4)学习VHDL程序中数据对象,数据类型,顺序语句,并行语句的综合使用 二、实验内容 设计一个序列检测器,当序列检测器连续收到一组串行的二进制码后,如果这组码与检测器中预先设置的码相同,则输出1,否则输出0。要求检测器必须记住前一次的正确码及正确序列,直到在连续的检测中所收到的每一位码都与预置数的对应码相同,在检测过程中,任何一位不相等都将回到初始状态重新开始检测。 三、实验条件 开发软件:MAX+plus II 9.23 Baseline 硬件设备:装有windows7的pc机 四、实验设计 1)系统的原理框架图

2)VHDL源程序 chk.vhd源代码 library ieee; use ieee.std_logic_1164.all; entity chk is port(din: in std_logic; clk,clr:in std_logic; d:in std_logic_vector(7 downto 0); ab:out std_logic_vector(3 downto 0)); end entity chk; architecture art of chk is signal q:integer range 0 to 8; begin process(clk,clr)is begin if clr='1'then q<=0; elsif clk'event and clk='1'then case q is when 0=> if din=d(7)then q<=1;else q<=0;end if; when 1=> if din=d(6)then q<=2;else q<=0;end if; when 2=> if din=d(5)then q<=3;else q<=0; end if; when 3=> if din=d(4)then q<=4;else q<=0; end if; when 4=> if din=d(3)then q<=5;else q<=0; end if; when 5=> if din=d(2)then q<=6;else q<=0; end if; when 6=> if din=d(1)then q<=7;else q<=0; end if; when 7=> if din=d(0)then q<=8;else q<=0; end if; when others => q<=0; end case; end if; end process; process(q)is begin if q=8 then ab<="1010"; else ab<="1011"; end if; end process; end architecture;

简易数字频率计电路设计

简易数字频率计电路设计

摘要 请对内容进行简短的陈述,一般不超过300字 关键字:周期;频率;数码管,锁存器,计数器,中规模电路,定时器 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。 数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。因此,数字频率计是一种应用很广泛的仪器。 本章要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示。数字频率计主要由放大整形电路、闸门电路、计数器电路、锁存器、数码管、时基电路、逻辑控制、译码显示电路几部分组成。

目录 前言 (1) 1.数字频率计的原理 (2) 2.系统框图 (3) 3.系统各功能单元电路设计 (3) 3.1 时基电路设计 (3) 3.2 放大整形电路 (4) 3.3 逻辑控制电路 (5) 3.4 锁存单元 (6) 3.5 分频电路 (7) 3.6 显示器 (7) 3.7 报警电路 (8) 4.系统总电路图 (10) 结束语 (11) 参考文献 (12)

前言 数字频率计是一种专门对被测信号频率进行测量的电子测量仪器。被测信号可以是正弦波、方波或其它周期性变化的信号。数字频率计主要由放大整形电路、闸门电路、计数器电路、锁存器、时基电路、逻辑控制、译码显示电路几部分组成。 在传统的电子测量仪器中,示波器在进行频率测量时测量精度较低,误差较大。频谱仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时快速的跟踪捕捉到被测信号频率的变化。正是由于频率计能够快速准确的捕捉到被测信号频率的变化,因此,频率计拥有非常广泛的应用范围。 在传统的生产制造企业中,频率计被广泛的应用在产线的生产测试中。频率计能够快速的捕捉到晶体振荡器输出频率的变化,用户通过使用频率计能够迅速的发现有故障的晶振产品,确保产品质量。 频率计被用来对各种电子测量设备的本地振荡器进行校准。在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以被用来对无线电台的跳频信号和频率调制信号进行分析。

流量检测电路设计课程设计

流量检测电路设计课程设计

第一章 流量测量装置单元 1.1节流装置 节流变压降流量计的工作原理是,在管道内装入节流件,流体流过节流件的时候流束收缩,于是在节流件前后产生差压,对于一定的形状和尺寸的节流件,一定的测压位置和前后直管段情况,一定参数的流体,节流见前后的差压随流量的改变而改变俩者之间有确定的关系,因此可一通过差压来测量流量。 节流件常用的有孔板和喷嘴,本实验中采用孔板。节流式流量计通常由能将流体流量转换成差压信号的节流装置及测量差压并显示流量的差压计组成. 标准节流装置包括节流件及其取压装置、节流件上游侧第一个阻力件、第二个阻力件、下游侧第一个阻力件以及在它们之间的直管短段,节流装置如图1-1所示。 图1-1整套节流装置 示意 1.2 节流件安装 标准孔板的开口直径d 是一个重要的尺寸,应实际测量,孔板的安装要求如下: (1)节流件前后的直管段必须是直的,不得有肉眼可见的弯曲。 (2)安装节流件用得直管段应该是光滑的,如不光滑,流量系数应乘以粗糙度修正稀疏。 (3)为保证流体的流动在节流件前1D 出形成充分发展的紊流速度分布,而且使这种分布成均匀的轴对称形,所以

1)直管段必须是圆的,而且对节流件前2D范围,其圆度要求其甚为严格,并且有一定的圆度指标。具体衡量方法: (A)节流件前OD,D/2,D,2D4个垂直管截面上,以大至相等的角距离至少分别测量4个管道内径单测值,取平均值D。任意内径单测量值与平均值之差不得超过±0。3% (B)在节流件后,在OD和2D位置用上述方法测得8个内径单测值,任意单测值与D比较,其最大偏差不得超过±2% 2)节流件前后要求一段足够长的直管段,这段足够长的直管段和节流件前的局部阻力件形式有关和直径比β有关,见表1(β=d/D, d为孔板开孔直径,D为管道内径)。(4)节流件上游侧第一阻力件和第二阻力件之间的直管段长度可按第二阻力件的形式和β=0。7(不论实际β值是多少)取表一所列数值的1/2 (5)节流件上游侧为敞开空间或直径≥2D大容器时,则敞开空间或大容器与节流件之间的直管长不得小于30D(15D)若节流件和敞开空间或大容器之间尚有其它局部阻力件时,则除在节流件与局部阻力件之间设有附合表1上规定的最小直管段长1外,从敞开空间到节流件之间的直管段总长也不得小于30D(15D)。 1.3 取压方式 取压方式采用法兰取压装置,法兰取压装置如图1-2所示,孔板夹在俩个特质的法兰之间,其间加俩片垫片,厚度不超过1mm,上游取压中心线与节流装置的距离l=25.4mm下游取压中心线与节流装置的距离l=25.4mm,取压孔必须符合单独钻孔取压的全部要求,取压孔中心线必须与管道中心线垂直。 图1-2 法兰取压

110序列检测器的设计及仿真实现

题目:设计110序列检测器,当输入信号时输 出,否则 一、设计思路 我们采用Moore机完成这个功能。对于触发器的选择,为了简便我们选用D触发器以及基本的门电路完成基本设计。 二、时钟同步状态机 1根据题目要求我们得到下面的状态图 状态表示的意义Q X=0 X=1 输出Z 等待1的出现 A A B 0 出现1 B A C 0 出现11 C D C 0 出现110 D A B 1 * Q 2 转移输出表 01 Q Q输入X 输出Z X=0 X=1 00 00 01 0 01 00 11 0 11 10 11 0

10 00 01 1 01Q Q * * 3 状态图如图: 通过卡诺图化简可得 转移方程: 00111=Q Q Q Q X Q X * * += 输出方程:01 Z Q Q ? = 我们选择D 触发器作为记忆电路部分 由D 触发器的特征方程: Q D * = 得激励方程: 00111D =Q Q Q X D X += 三、V erilog 程序如下: module shiyan2 (clk,x,z); input clk,x; output z; wire[1:0] state;

wire[1:0] excite; nextlogic u1(x,state,excite); statememory u2(clk,excite,state); outputlogic u3(state,z); endmodule module statememory (clk,d,q); input clk; input[1:0] d; output[1:0] q; reg[1:0] q; always @ (posedge clk) begin q <= d; end endmodule module nextlogic (x,q,d); input x; input[1:0] q; output[1:0] d; assign d[0]=(q[1]&q[0])|(q[1]&x); assign d[1]=x; endmodule

8位序列检测器的设计

八位序列检测器设计 摘要:序列检测器多用于通信系统中对禁用码的检测,或者是对所需信号的提取,即一旦检测到所需信号就输出高电平,这在数字通信领域有广泛的应运。本文介绍了一种采用单片PGA 芯片进行脉冲序列检测器的设计方法,主要阐述如何使用新兴的EDA 器件取代传统的电子设计方法,利用FPGA 的可编程性,简洁而又多变的设计方法,缩短了研发周期,同时使设计的电路体积更小功能更强大。本次课程设计设计出能够检测序列“”的序列检测器,并以此来描述序列检测器的设计过程和基于FPGA 的软件仿真。最后通过QuartusII 的波形输出对设计方案进行检测,在硬件调试经检测输出正确设计符合要求。 关键词: VHDL 序列检测QuartusⅡFPGA Abstract:Sequence detector system used for communication on the detection code disabled, or is the extraction of the desired signal, that is, once detected, the required high output signal, which in the broad field of digital communications to be transported. This paper presents a single FPGA chip with the detector pulse sequence design method, mainly on how to us e new device to replace the traditional EDA electronic design, the use of FPGA's programmability, concise and changing the design method shortens the development cycle, while allowing smaller circuit design and more powerful. The curriculum is designed to detect sequence "" sequence detectors, and detector in order to describe the sequence of the design process and FPGA- based software simulation. Finally, the output of the waveform QuartusII design testing, debugging the hardware design has been tested and meet the requirements of the correct output. Keywords:VHDL Sequence detection QuartusⅡFPGA

相关文档