文档库 最新最全的文档下载
当前位置:文档库 › 计算机组成原理课程设计

计算机组成原理课程设计

计算机组成原理课程设计
计算机组成原理课程设计

指导教师:桂宁张芳2016年6月18日

计算机组成原理课程设计

报告

(2015/2016第二学期------第17周)

指导教师:桂宁张芳

班级:

姓名:

学号:

一、目的和要求

目的:

深入了解计算机各种指令的执行过程,以及控制器的组成,指令系统微程序设计的具体知识,进一步理解和掌握动态微程序设计的概念;完成微程序控制的特定功能计算机的指令系统设计和调试。

要求:

根据内容自行设计相关指令微程序;(务必利用非上机时间设计好微程序)

设计测试程序、实验数据并上机调试。

设计报告内容:包括1、设计目的2、设计内容3、微程序设计(含指令格式、功能、设计及微程序)4、实验数据(测试所设计指令的程序及结果)。

二、实验环境

TEC-2机和PC机

三、具体内容

实验内容:

(1)把用绝对地址表示的内存单元ADDR1中的内容与内存单元ADDR2中的内容相减,结果存于内存单元ADDR3中。指令格式:D4××,ADDR1,ADDR2,ADDR3,四字指令(控存入口100H)功能:[ADDR3]=[ADDR1]-[ADDR2]

(2)将一通用寄存器内容减去某内存单元内容,结果放在另一寄存器中。

指令格式:E0 DR SR,ADDR (SR,DR源、目的寄存器各4位)双字指令(控存入口130H)功能:DR=SR - [ADDR]

(3)转移指令。判断两个通用寄存器内容是否相等,若相等则转移到指定绝对地址,否则顺序执行。

指令格式:E5 DR SR,ADDR 双字指令(控存入口140H)

功能:if DR==SR goto ADDR else顺序执行。

设计:利用指令的CND字段,即IR10~8,令IR10~8=101,即CC=Z

则当DR==SR时Z=1,微程序不跳转,接着执行MEM PC(即)

而当DR!=SR时Z=0,微程序跳转至A4。

实验设计并分析

第一条:

把用绝对地址表示的内存单元ADDR1中的内容与内存单元ADDR2中的内容相减,结果存于内存单元ADDR3中。指令格式:D4××,ADDR1,ADDR2,ADDR3,四字指令(控存入口100H)功能:[ADDR3]=[ADDR1]-[ADDR2]

指令格式:

微程序:

PC->AR,PC+1->PC: 0000 0E00 A0B5 5402

MEN->AR: 0000 0E00 10F0 0002

MEN->Q:0000 0E00 00F0 0000

PC->AR,PC+1->PC: 0000 0E00 A0B5 5402

MEN->AR: 0000 0E00 10F0 0002

MEN-Q->Q: 0000 0E01 01E0 0000

PC->AR,PC+1->PC: 0000 0E00 A0B5 5402

MEN->AR: 0000 0E00 10F0 0002

Q->MEN,CC#=0: 0029 0300 1020 0010

>E900

0900 0000:0000 0000:0E00 0000:A0B5 0000:5402 0000:0000

0905 0000:0E00 0000:10F0 0000:0002 0000:0000 0000:0E00

090A 0000:00F0 0000:0000 0000:0000 0000:0E00 0000:A0B5

090F 0000:5402 0000:0000 0000:0E00 0000:10F0 0000:0002

0914 0000:0000 0000:0E01 0000:01E0 0000:0000 0000:0000

0919 0000:0E00 0000:A0B5 0000:5402 0000:0000 0000:0E00

091E 0000:10F0 0000:0002 0000:0029 0000:0300 0000:1020

0923 0000:0010

用D命令查看输入的微码:

>D900

0900 0000 0E00 A0B5 5402 0000 0E00 10F0 0002 ......T......... 0908 0000 0E00 00F0 0000 0000 0E00 A0B5 5402 ..............T. 0910 0000 0E00 10F0 0002 0000 0E01 01E0 0000 ................ 0918 0000 0E00 A0B5 5402 0000 0E00 10F0 0002 ......T......... 0920 0029 0300 1020 0010 0000 0000 0000 0000 .).............. 0928 0000 0000 0000 0000 0000 0000 0000 0000 ................ >A800

0800: MOV R1,900

0802: MOV R2,9

0804: MOV R3,100

0806: LDMC

0807: RET

0808:

用G命令运行加载微码的程序:

>G800

用A命令输入程序:

>A820

0820: MOV R0,0023

0822: MOV [A00],R0

0824: MOV R1,0022

0826: MOV [A01],R1

0828: NOP

0829: NOP

082A: NOP

082B: NOP

082C: RET

082D:

用E命令输入新指令:

>E828

0828 0000:D400 0000:0A00 0000:0A01 0000:0A02

用U命令查看输入程序:

>U820

0820: 2C00 0023 MOV R0, 0023

0822: 3400 0A00 MOV [0A00], R0

0824: 2C00 0032 MOV R1, 0022

0826: 3400 0A01 MOV [0A01], R1

0828: D400 DW D400

0829: 0A00 ADC R0, R0

082A: 0A01 ADC R0, R1

082B: 0A02 ADC R0, R2

082C: AC00 RET

082D: 0000 NOP

082E: 0000 NOP

082F: 0000 NOP

0830: 0000 NOP

0831: 0000 NOP

0832: 0000 NOP

0833: 0000 NOP

用G命令运行程序:

>G820

用D命令查看运行结果:

>DA00

0A00 0023 0022 0001 0000

假设从地址[0828]开始执行微程序,此时程序计数器PC的值是0829。因为运行程序的时候[0829]和[0830]中存了减法的两个数的内存地址,所以要想方设法1)把这两个加数传到运算器的寄存器中,2)在运算器中相减,3)将结果输出到内存单元[ADDR1]。以下分别进行分析。

1)取每个加数要访问两次内存,第一次是取得被减数所在的内存地址的值(MEM→AR), 第二次是取得减数本身并保存在Q寄存器中(MEM→Q)。注意,取第二个减数的时候,第一次仍是MEM→AR,而第二次MEM-Q→Q的同时也把减法给完成了,请见接下来的分析。

2)相减的操作是MEM-Q→Q。MEM是从内存中取得的第二个数的值,左边的Q 是刚才取得的第一个数的值。他俩相减的和传给Q寄存器,覆盖掉了Q寄存器刚才保存的值(第一个数)。

3)现在Q寄存器中存有减法的运算结果,最后的工作是把这个结果写到内存单元[ADDR1]中去。如果AR或者PC指向[ADDR1]的话就好办了,难点在于现在AR已经到了[ADDR2]处,PC已经到了[ADDR2+1]处,而且又不知道如何让寄存器的值减一。

后来问同学,得到了解决办法:利用IP寄存器。IP正好还呆在[ADDR1-1],即内存地址[0828]。显然,让IP+1就得到了[ADDR1],即[0829]。微指令是IP+1→AR以及MEM →AR,这时AR的值为第一个加数所在的单元[ADDR1]。

现在Q的值是运算结果,AR的值是运算结果要传给的内存地址。显而易见,最后一步就是“存储器写”操作Q→MEM。

第二条:

将一通用寄存器内容减去某内存单元内容,结果放在另一寄存器中。指令格式:E0 DR SR,ADDR (SR,DR源、目的寄存器各4位)双字指令(控存入口130H)

功能:DR=SR - [ADDR]

指令格式:

微程序:

PC→AR,PC+1→PC:0000 0E00 A0B5 5402

MEM→AR:0000 0E00 10F0 0002

SR - [ADDR] →DR:0029 0301 31D0 0088

用E命令输入微码:

>E900

0900 0000:0000 0000:0E00 0000:A0B5 0000:5402 0000:0000

0905 0000:0E00 0000:10F0 0000:0002 0000:0029 0000:0301

090A 0000:31D0 0000:0088

用D命令查看输入的微码:

>D900

0900 0000 0E00 A0B5 5402 0000 0E00 10F0 0002 ......T......... 0908 0029 0301 31D0 0088 0000 0000 0000 0000 .)..1........... 0910 0000 0000 0000 0000 0000 0000 0000 0000 ................

用A命令输入加载微码的程序:

>A800

0800: MOV R1,900

0802: MOV R2,3

0804: MOV R3,130

0806: LDMC

0807: RET

0808:

用G命令运行加载微码的程序:

>G800

用A命令输入程序:

>A820

0820: MOV R7,0024

0822: MOV R8,0023

0824: MOV [A00],R8

0826: NOP

0827: NOP

0828: RET

0829:

用E命令输入新指令:

>E826

0826 0000:E007 0000:0A00

用U命令查看输入的程序:

>U820

0820: 2C70 0024 MOV R7, 0024

0822: 2C80 0023 MOV R8, 0023

0824: 3408 0A00 MOV [0A00], R8

0826: E007 DW E007

0827: 0A00 ADC R0, R0

0828: AC00 RET

0829: 0000 NOP

082A: 0000 NOP

082B: 0000 NOP

082C: 0000 NOP

082D: 0000 NOP

082E: 0000 NOP

082F: 0000 NOP

0830: 0000 NOP

0831: 0000 NOP

0832: 0000 NOP

用G命令运行程序:

>G820

用D命令查看运行结果:

>DA00

0A00 0022 0000 0000

用R命令查看寄存器内容:

>R

R0=0001 R1=090C R2=0000 R3=0133 SP=FFFF PC=0820 IP=0828 R7=0024 R8=0023

R9=0000 R10=0000 R11=0000 R12=0000 R13=0000 R14=0000 R15=0000 F=00001111

0820: 2C70 0024 MOV R7, 0024

根据指令的功能和指令格式,先读取地址ADDR单元内容暂时放置于Q寄存器中,然后再读取内存单元中的DATA,同时与Q寄存器内容相减,结果存放在DR寄存

器中。

第三条:

转移指令。判断两个通用寄存器内容是否相等,若相等则转移到指定绝对地址,否则顺序执行。指令格式:E5 DR SR,ADDR 双字指令(控存入口140H)

功能:if DR==SR goto ADDR else顺序执行。

设计:利用指令的CND字段,即IR10~8,令IR10~8=101,即CC=Z

则当DR==SR时Z=1,微程序不跳转,接着执行MEM PC(即)

而当DR!=SR时Z=0,微程序跳转至A4。

指令格式:

微程序:

SR - DR:0000 0E01 9190 0088

PC→AR,CC# = CND,PC+1→PC:0029 03E0 A0B5 5402

MEM→PC:0029 0300 30F0 5000

用E命令输入微码:

>E900

0900 0000:0000 0000:0E01 0000:9190 0000:0088 0000:0029

0905 0000:03E0 0000:A0B5 0000:5402 0000:0029 0000:0300

090A 0000:30F0 0000:5000

用D命令查看输入的微码:

>D900

0900 0000 0E01 9190 0088 0029 03E0 A0B5 5402 .........)....T. 0908 0029 0300 30F0 5000 0000 0000 0000 0000 .)..0.P......... 0910 0000 0000 0000 0000 0000 0000 0000 0000 ................ 用A命令输入加载微码的程序:

>A800

0800: MOV R1,900

0802: MOV R2,3

0804: MOV R3,140

0806: LDMC

0807: RET

0808:

用G命令运行加载微码的程序:

>G800

当寄存器R7与寄存器R8内容不同时:

用A命令输入程序:

>A820

0820: MOV R7,0023

0822: MOV R8,0028

0824: NOP

0825: NOP

0826: MOV R9,0067

0828: RET

0829:

用E命令输入新指令:

>E824

0824 0000:E578 0000:0828

用U命令查看输入的程序:

>U820

0820: 2C70 2013 MOV R7, 0023

0822: 2C80 2014 MOV R8, 0028

0824: E578 DW E578

0825: 0828 ADC R2, R8

0826: 2C90 2015 MOV R9, 0067

0828: AC00 RET

0829: 0000 NOP

082A: 0000 NOP

082B: 0000 NOP

082C: 0000 NOP

082D: 0000 NOP

082E: 0000 NOP

082F: 0000 NOP

0830: 0000 NOP

0831: 0000 NOP

0832: 0000 NOP

用G命令运行程序:

>G820

用R命令查看寄存器内容:

>R

R0=0000 R1=090C R2=0000 R3=0143 SP=FFFF PC=0820 IP=0828 R7=0023 R8=0028 R9=0067 R10=0000 R11=0000 R12=0000 R13=0000 R14=0000 R15=0000 F=00011111

0820: 2C70 2013 MOV R7, 2013

由寄存器R9的值为2015可知,程序并未转移到某绝对地址

1、SR- DR,运算器接受标志位,设定标志位

2、PC→AR,PC+1→PC,SCC=7,IR10-8=101,CC#=Z

DR=SR,CC#=Z=1,顺序执行102,DR≠SR 转移到下地址为103的指令继续转移

3、(DR=SR)偏移量OFFSET加IP(R4),结果赋给PC(R5),然后令CC#=0,用3

号命令条件转移到A4H,结束微程序

4、PC→AR,PC+1→PC SCC=101,SC=1,使CC#=S#,

DR>SR,SR-DR<0,S=1,CC#=S#=0,3号命令条件转移到下地址为A4H的指令结束程序,DR0,S=0,CC#=S#=1,条件转移命令将顺序执行地址为104的指令

5、(DR

四.实验心得

通过这次的课程设计,使得我们进一步地熟悉了PC机与TEC-2机,同时也更深层次的了解了计算机各种指令的执行过程,以及控制器的组成,指令系统微程序设计的具体知识,同时在一定程度上理解和掌握了动态微程序设计的概念。更加深入的了解了指令、微程序、微码的关系和构成,通过设计、调试指令,熟悉了计算机内部的控制器与算术逻辑单元的运行过程,对计算机内部结构更加熟悉。

成绩评定表

签字:

年月日

五.答辩内容(许建龙老师)

1.第三个实验中,为什么要在第一条指令设置SR-DR?

答:为了判断两寄存器的内容是否相等,并以此为依据来设置标志位Z判断是否转移,若相等则转移,若不相等则顺序执行。

2.第三个实验中,微码通过哪几位实现标志位的设置?

答:通过B39~B37(SCC)和B34~B32(SST)来设置。

SCC为111,通过设置R8~R10的值来设置条件码CC#的值,此处CC#=Z,R8~R10为5。

SST为001,设置状态位随结果而变化。

3.第三个实验中,PC→AR,PC+1→PC是怎么实现的?

答:A、B口地址均设置为1010,即R5,

PC→AR通过A口输出直接作为AM2901的Y输出,Y与寄存器AR相连;

PC+1→PC通过B口输出到ALU运算单元,与进位Cn进行运算后选择F端作为AM2901Y

的输出,结果回送PC。

4.第三个实验中,若ADDR是偏移地址,则应如何修改指令?

答:把MEM→PC改为IP+MEM→PC,IP指向当前指令的地址,MEM为ADDR(偏移地址)。

5.第三个实验中,第二条指令的SC位一定要是0吗?

答:不一定为0。此条微指令的SCC为111,SC只与R10~R8有关,所以SC位为任意值,即0和1均可。

6.第三个实验中,若将操作码改为D5XX,应如何修改指令?

答:应将微程序入口地址改为100H,即把MOV R3,140H改为MOV R3,100H。

计算机组成原理练习题-答案

一、填空题 1.对存储器的要求是速度快,_容量大_____,_价位低_____。为了解决这方面的矛盾,计算机采用多级存储体系结构。 2.指令系统是表征一台计算机__性能__的重要因素,它的____格式__和___功能___不仅直接影响到机器的硬件结构而且也影响到系统软件。 3.CPU中至少有如下六类寄存器__指令____寄存器,__程序_计数器,_地址__寄存器,通用寄存器,状态条件寄存器,缓冲寄存器。 4.完成一条指令一般分为取指周期和执行周期,前者完成取指令和分析指令操作,后者完成执行指令操作。 5.常见的数据传送类指令的功能可实现寄存器和寄存器之间,或寄存器和存储器之间的数据传送。 6.微指令格式可分为垂直型和水平型两类,其中垂直型微指令用较长的微程序结构换取较短的微指令结构。 7.对于一条隐含寻址的算术运算指令,其指令字中不明确给出操作数的地址,其中一个操作数通常隐含在累加器中 8.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为 2^127(1-2^-23) ,最小正数为 2^-129 ,最大负数为 2^-128(-2^-1-2^-23) ,最小负数为 -2^127 。 9.某小数定点机,字长8位(含1位符号位),当机器数分别采用原码、补码和反码时,其对应的真值范围分别是 -127/128 ~+127/128 -1 ~+127/128 -127/128 ~+127/128 (均用十进制表示)。 10.在DMA方式中,CPU和DMA控制器通常采用三种方法来分时使用主存,它们是停止CPU访问主存、周期挪用和DMA和CPU交替访问主存。 11.设 n = 8 (不包括符号位),则原码一位乘需做 8 次移位和最多 8 次加法,补码Booth算法需做 8 次移位和最多 9 次加法。 12.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为,最小正数为,最大负数为,最小负数为。 13.一个总线传输周期包括申请分配阶段、寻址阶段、传输阶段和结束阶段四个阶段。 14.CPU采用同步控制方式时,控制器使用机器周期和节拍组成的多极时序系统。

天大2016年12月《计算机组成原理》期末大作业考核要求

计算机组成原理 要求: 1.独立完成,作答时要按照模版信息 ....填写完整,写明题型、题号; 2.作答方式:手写作答或电脑录入,使用学院统一模版(模版详见附件); 3.提交方式:以下两种方式任选其一, 1)手写作答的同学可以将作业以图片形式打包压缩上传; 2)提交电子文档的同学可以将作业以word文档格式上传; 4.上传文件命名为“中心-学号-姓名-科目.rar”或“中心-学号-姓名-科 目.doc”; 5.文件容量大小:不得超过10MB。 请在以下几组题目中,任选一组题目作答,满分100分。 第一组: 一、论述题(20分) 1、简述:一条指令通常由哪些部分组成?简述各部分的功能。 二、分析题(30分) 1、指令格式结构如下所示,使分析指令格式以及寻址方式特点。 15 10 9 5 4 0 1. 有一台磁盘机器,平均寻道时间为30ms,平均旋转等待时间为120ms,数据传输速率为500B/ms,磁盘机桑存放着1000件每件3000B的数据。现欲把一件数据取走,更新后放回原地,假设一次取出或写入所需时间为:平均寻道时间+平均等待时间+数据传送时间。另外,使用CPU更新信息所需时间为4ms,并且更新时间同输入输出操作不相重叠。试问:(20分)(1)更新磁盘上全部数据需要多少时间? (2)若磁盘以及旋转速度和数据传输率都提高一倍,更新全部数据需要多少时间?2、有一个具有20位地址和32位字长的存储器,问:(30分) (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K×8位SRAM芯片组成,需要多少芯片? (3)需要多少位地址作为芯片选择?

第二组: 一、论述题(20分) 1、解释术语:总线周期。 二、分析题(30分) 1、CPU结构图如下图所示,其中有一个累加寄存器AC,各部分之间的连线表示数据通路,剪头表示信息传送方向。 (1)标明图中四个存储器的名称。 (2)简述指令从主存取到控制器的数据通路。 (3)简述数据在运算器和主存之间进行存/取访问的数据通路。 三、计算题(共50分) 1、已知某磁盘存储器转速为2400转/分,每个记录面道数为200道,平均查找时间为60ms,每道存储容量为96Kbit,求磁盘的存取时间与数据传播率。(20分) 2、今有4级流水线分别完成取值、指令译码并取数、运算、送结果四步操作,近假设完成各部操作的时间依次为100ns,100ns,80ns,50ns。请问:(30分) (1)流水线的操作周期应设计为多少? (2)若相邻两条指令发生数据相关,并且在硬件上不采取措施,那么第二条指令推迟多少时间进行? (3)若果在硬件设计上加以改进,至少推迟多少时间?

计算机组成原理知识点总结——详细版

计算机组成原理2009年12月期末考试复习大纲 第一章 1.计算机软件的分类。 P11 计算机软件一般分为两大类:一类叫系统程序,一类叫应用程序。 2.源程序转换到目标程序的方法。 P12 源程序是用算法语言编写的程序。 目标程序(目的程序)是用机器语言书写的程序。 源程序转换到目标程序的方法一种是通过编译程序把源程序翻译成目的程序,另一种是通过解释程序解释执行。 3.怎样理解软件和硬件的逻辑等价性。 P14 因为任何操作可以有软件来实现,也可以由硬件来实现;任何指令的执行可以由硬件完成,也可以由软件来完成。对于某一机器功能采用硬件方案还是软件方案,取决于器件价格,速度,可靠性,存储容量等因素。因此,软件和硬件之间具有逻辑等价性。 第二章 1.定点数和浮点数的表示方法。 P16 定点数通常为纯小数或纯整数。 X=XnXn-1…..X1X0 Xn为符号位,0表示正数,1表示负数。其余位数代表它的量值。 纯小数表示范围0≤|X|≤1-2-n 纯整数表示范围0≤|X|≤2n -1

浮点数:一个十进制浮点数N=10E.M。一个任意进制浮点数N=R E.M 其中M称为浮点数的尾数,是一个纯小数。E称为浮点数的指数,是一个整数。 比例因子的基数R=2对二进制计数的机器是一个常数。 做题时请注意题目的要求是否是采用IEEE754标准来表示的浮点数。 32位浮点数S(31)E(30-23)M(22-0) 64位浮点数S(63)E(62-52)M(51-0) S是浮点数的符号位0正1负。E是阶码,采用移码方法来表示正负指数。 M为尾数。P18 P18

2.数据的原码、反码和补码之间的转换。数据零的三种机器码的表示方法。 P21 一个正整数,当用原码、反码、补码表示时,符号位都固定为0,用二进制表示的数位值都相同,既三种表示方法完全一样。 一个负整数,当用原码、反码、补码表示时,符号位都固定为1,用二进制表示的数位值都不相同,表示方法。 1.原码符号位为1不变,整数的每一位二进制数位求反得到反码; 2.反码符号位为1不变,反码数值位最低位加1,得到补码。 例:x= (+122)10=(+1111010)2原码、反码、补码均为01111010 Y=(-122)10=(-1111010)2原码11111010、反码10000101、补码10000110 +0 原码00000000、反码00000000、补码00000000 -0 原码10000000、反码11111111、补码10000000 3.定点数和浮点数的加、减法运算:公式的运用、溢出的判断。 P63 已知x和y,用变形补码计算x+y,同时指出结果是否溢出。 (1)x=11011 y=00011 (2)x=11011 y=-10101 (3)x=-10110 y=-00001

计算机组成原理课后习题答案解析

作业解答 第一章作业解答 1.1 基本的软件系统包括哪些内容? 答:基本的软件系统包括系统软件与应用软件两大类。 系统软件是一组保证计算机系统高效、正确运行的基础软件,通常作为系统资源提供给用户使用。包括:操作系统、语言处理程序、数据库管理系统、分布式软件系统、网络软件系统、各种服务程序等。 1.2 计算机硬件系统由哪些基本部件组成?它们的主要功能是什么? 答:计算机的硬件系统通常由输入设备、输出设备、运算器、存储器和控制器等五大部件组成。 输入设备的主要功能是将程序和数据以机器所能识别和接受的信息形式输入到计算机内。 输出设备的主要功能是将计算机处理的结果以人们所能接受的信息形式或其它系统所要求的信息形式输出。 存储器的主要功能是存储信息,用于存放程序和数据。 运算器的主要功能是对数据进行加工处理,完成算术运算和逻辑运算。 控制器的主要功能是按事先安排好的解题步骤,控制计算机各个部件有条不紊地自动工作。 1.3 冯·诺依曼计算机的基本思想是什么?什么叫存储程序方式? 答:冯·诺依曼计算机的基本思想包含三个方面: 1) 计算机由输入设备、输出设备、运算器、存储器和控制器五大部件组成。 2) 采用二进制形式表示数据和指令。 3) 采用存储程序方式。 存储程序是指在用计算机解题之前,事先编制好程序,并连同所需的数据预先存入主存储器中。在解题

过程(运行程序)中,由控制器按照事先编好并存入存储器中的程序自动地、连续地从存储器中依次取出指令并执行,直到获得所要求的结果为止。 1.4 早期计算机组织结构有什么特点?现代计算机结构为什么以存储器为中心? 答:早期计算机组织结构的特点是:以运算器为中心的,其它部件都通过运算器完成信息的传递。 随着微电子技术的进步,人们将运算器和控制器两个主要功能部件合二为一,集成到一个芯片里构成了微处理器。同时随着半导体存储器代替磁芯存储器,存储容量成倍地扩大,加上需要计算机处理、加工的信息量与日俱增,以运算器为中心的结构已不能满足计算机发展的需求,甚至会影响计算机的性能。为了适应发展的需要,现代计算机组织结构逐步转变为以存储器为中心。 1.5 什么叫总线?总线的主要特点是什么?采用总线有哪些好处? 答:总线是一组可为多个功能部件共享的公共信息传送线路。 总线的主要特点是共享总线的各个部件可同时接收总线上的信息,但必须分时使用总线发送信息,以保证总线上信息每时每刻都是唯一的、不至于冲突。 使用总线实现部件互连的好处: ①可以减少各个部件之间的连线数量,降低成本; ②便于系统构建、扩充系统性能、便于产品更新换代。 1.6 按其任务分,总线有哪几种类型?它们的主要作用是什么? 答:按总线完成的任务,可把总线分为:CPU内部总线、部件内总线、系统总线、外总线。 1.7 计算机的主要特点是什么? 答:计算机的主要特点有:①能自动连续地工作;②运算速度快;③运算精度高;④具有很强的存储能力

计算机组成原理选择题及答案

1. 冯·诺依曼计算机中指令和数据均以二进制形式存放在存储器中,CPU区分它们的依据是() A. 指令操作码的译码结果 B. 指令和数据的寻址方式 C. 指令周期的不同阶段 D. 指令和数据所在的存储单元 答案为:C 2. 假定变量i,f,d数据类型分别为int, float, double(int用补码表示,float和double用IEEE754单精度和双精度浮点数据格式表示),已知i=785,f=1.5678e3 ,d=1.5e100,若在32位机器中执行下列关系表达式,则结果为真的是() (I) i==(int)(float)i (II)f==(float)(int)f (III)f==(float)(double)f (IV)(d+f)-d==f A. 仅I和II B. 仅I和III C. 仅II和III D. 仅III和IV 答案B 3.一个C语言程序在一台32位机器上运行。程序中定义了三个变量x,y和z,其中x和z 是int型,y为short型。当x=127,y=-9时,执行赋值语句z=x+y 后,x、y和z的值分别是: A x=0000007FH , y=FFF9H , z=00000076H B x=0000007FH , y=FFF9H , z=FFFF0076H C x=0000007FH , y=FFF7H , z=FFFF0076H D x=0000007FH , y=FFF7H , z=00000076H 答案D 4. 某计算机主存容量为64KB,其中ROM区为4KB,其余为RAM区,按字节编址,现要用2K×8位的ROM芯片和4K×4位的RAM芯片来设计该存储 器,则需要上述规格的ROM芯片数和RAM芯片数分别是() A . 1、15 B . 2、15 C . 1、30 D . 2、30 答案D 5. 假定用若干个2K×4位芯片组成一个8K×8位的存储器,则地址0B1FH所在芯片的最小地址是() A. 0000H B. 0600H C. 0700H D. 0800H 答案D

哈工大计算机组成大作业完整版

哈工大计算机组成大作业 哈工大计算机组成原理自主实验 计算机组成原理自主实验报告 第四章‐实验1 一个2114 存储芯片的实现 要求:外特性与2114 芯片一致(P77,图4.12),可以设计成为64*64 个存储单元的堆。 A0-A9:地址线 I/O:数据输入输出线 CS:片选信号 R/W:读写信号 VHDL代码: library IEEE;

use IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity shiyan41 is PORT(clk, we, cs,reset: in STD_LOGIC; data: inout STD_LOGIC_VECTOR(3 downto 0); adr: in STD_LOGIC_VECTOR(9 downto 0)); end shiyan41; architecture Behavioral of shiyan41 is typemem is array (63 downto 0) of STD_LOGIC_VECTOR(63 downto 0); signal data_in: STD_LOGIC_VECTOR(3 downto 0); signaldata_out: STD_LOGIC_VECTOR(3 downto 0); signalsram : mem; signalcs_s : std_logic; signalwe_s : std_logic; signaladdr_in_row: std_logic_vector(5 downto 0);

计算机组成原理考研知识点汇总

计算机组成原理考研知 识点汇总 一, 计算机系统概述 (一) 计算机发展历程 第一台电子计算机ENIAC诞生于1946年美国宾夕法尼亚大学.ENIAC用了18000电子管,1500继电器,重30吨,占地170m2,耗电140kw,每秒计算5000次加法.冯?诺依曼(VanNeumann)首次提出存储程序概念,将数据和程序一起放在存储器,使编程更加方便.50年来,虽然对冯?诺依曼机进行很多改革,但结构变化不大,仍称冯?诺依曼机. 发展阶段时间硬件技术速度/(次/秒) 第一代1946-1957 电子管计算机时代40 000 第二代1958-1964 晶体管计算机时代200 000 第三代1965-1971 中小规模集成电路计算机时代 1 000 000 第四代1972-1977 大规模集成电路计算机时代10 000 000 第五代1978-现在超大规模集成电路计算机时代100 000 000 EDVAC(Electronic Discrete Variable Automatic Computer)电子离散变量计算机 组成原理是讲硬件结构的系统结构是讲结构设计的 摩尔定律微芯片上的集成管数目每3年翻两番.处理器的处理速度每18个月增长一倍. 每代芯片的成本大约为前一代芯片成本的两倍 新摩尔定律全球入网量每6个月翻一番. 数学家冯·诺依曼(von Neumann)在研究EDVAC机时提出了“储存程序”的概念.以此为基础的各类计算机通称为冯·诺依曼机.它有如下特点: ①计算机由运算器,控制器,存储器,输入和输出五部分组成 ②指令和数据以同等的地位存放于存储器内,并可按地址寻访 ③指令和数据均用二进制数表示 ④指令由操作码和地址码组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置 ⑤指令在存储器内按顺序存放 ⑥机器以运算器为中心,输入输出设备与存储器间的数据传送通过运算器完成 图中各部件的功能 ·运算器用来完成算术运算和逻辑运算并将的中间结 果暂存在运算器内 ·存储器用来存放数据和程序 ·控制器用来控制,指挥程序和数据的输入,运行以及 处理运行结果 ·输入设备用来将人们熟悉的信息转换为机器识别的 信息 ·输出设备将机器运算结果转为人熟悉的信息形式

计算机组成原理大作业定稿版

计算机组成原理大作业 HUA system office room 【HUA16H-TTMS2A-HUAS8Q8-HUAH1688】

计算机组成原理 大作业 院(系):物联网工程学院 专业: 计算机科学与技术 班级: 学号: 姓名: 摘要 1.计算机硬件系统:到目前为止,计算机仍沿用由冯.诺依曼首先提出的基于总线的计算机硬件系统。其基本设计思想为: a.以二进制形式表示指令和数据。 b.程序和数据事先存放在存储器中,计算机在工作时能够高速地从存储器中取出指令加以执行。 c.由运算器、控制器、存储器、输入设备和输出设备等五大部件组成计算机硬件系统。 2.计算机软件系统:所谓软件,就是为了管理、维护计算机以及为完成用户的某种特定任务而编写的各种程序的总和。计算机的工作就是运行程序,通过逐条的从存储器中取

出程序中的指令并执行指令所规定的操作而实现某种特定的功能。微型计算机的软件包括系统软件和用户(应用)软件。 关键词:计算机系统硬件存储器控制器运算器软件 目录 摘要 (2) 第一章总体设计 (4) 问题描述 (4) 实验环境 (4) 软件介绍 (4) 模块介绍 (4) 实验目的 (5) 实验内容 (5) 第二章原理图 (6) 第三章管脚分配 (7) 第四章微程序设计 (8) 1. alu_74181 (8)

2. romc (9) 第一章总体设计 问题描述 从两个reg_74244中分别取出两数经过总线,各自分别到达两个寄存器reg_74373,再由两个寄存器到达运算器alu_74181,在运算器里经过运算得出结果,结果再由总线传输进入另外的一个寄存器reg_74373,输出。 实验环境 软件介绍 ISE的全称为Integrated Software Environment,即“集成软件环境”,是Xilinx公司的硬件设计工具。它可以完成FPGA开发的全部流程,包括设计输入、仿真、综合、布局布线、生成BIT文件、配置以及在线调试等,功能非常强大。ISE除了功能完整,使用方便外,它的设计性能也非常好,拿ISE 9.x来说,其设计性能比其他解决方案平均快30%,它集成的时序收敛流程整合了增强性物理综合优化,提供最佳的时钟布局、更好的封装和时序收敛映射,从而获得更高的设计性能。 模块介绍 微程序控制器 微程序控制器是一种控制器,同组合逻辑控制器相比较,具有规整性、灵活性、可维护性等一系列优点,因而在计算机设计中逐渐取代了早期采用的组合逻辑控制器,并已被

计算机组成原理选择题200道

【选择题200道】 1. 计算机系统中的存贮器系统是指__D____。 A RAM存贮器 B ROM存贮器 C 主存贮器 D cache、主存贮器和外存贮器 2. 某机字长32位,其中1位符号位,31位表示尾数。若用定点小数表示,则最大正小 数为___ B __ 。 -32 -31 -32 -31 A+( 1 - 2 ) B + (1 - 2 ) C 2 D 2 3. 算术/ 逻辑运算单元74181ALU可完成_C ________ 。 A 16种算术运算功能 B 16种逻辑运算功能 C 16种算术运算功能和16种逻辑运算功能 D 4位乘法运算和除法运算功能 4. 存储单元是指_B ______ 。 A 存放一个二进制信息位的存贮元 B 存放一个机器字的所有存贮元集合 C 存放一个字节的所有存贮元集合 D 存放两个字节的所有存贮元集合; 5. 相联存贮器是按—C―行寻址的存贮器。 A 地址方式 B 堆栈方式 C 内容指定方 式 D 地址方式与堆栈方式 6. 变址寻址方式中,操作数的有效地址等于_C _____ 。 A 基值寄存器内容加上形式地址(位移量) B 堆栈指示器内容加上形式地址(位移量) C 变址寄存器内容加上形式地址(位移量) D 程序记数器内容加上形式地址(位移量) 7. 以下叙述中正确描述的句子是:__AD ___ 。 A 同一个CPU周期中,可以并行执行的微操作叫相容性微操作 B 同一个CPU周期中,不可以并行执行的微操作叫相容性微操作 C 同一个CPU周期中,可以并行执行的微操作叫相斥性微操作 D 同一个CPU周期中,不可以并行执行的微操作叫相斥性微操作 & 计算机使用总线结构的主要优点是便于实现积木化,同时_C_____ 。

[0013]计算机组成原理 答案

- 1 - 西南大学培训与继续教育学院课程考试试题卷 学期:2020年秋季 课程名称【编号】: 计算机组成原理 【0013】 A 卷 考试类别:大作业 满分:100 分 一、大作业题目 1. 已知:x= 0.1011,y = - 0.0101,求 :[ 21x]补,[ 41 x]补,[ - x ]补,[21y]补,[4 1 y]补,[ - y ]补 1. 解: [ x ]补du = 0.1011 , [ y ]补 = 1.1011 [1/2 x ]补 = 0.01011 , [1/2y]补 = 1.11011 [1/4 x ]补 = 0.001011 ,[ 1/4 y ]补 = 1.111011 [ - x ]补 = 1.0101 , [ - y ]补 =0.0101 2.指令有哪些寻址方式?设指令格式如下所示,其中OP 为操作码,试分析指令格式特点。 18 12 11 10 9 5 4 0 OP ---------- 源寄存器 目标寄存器 3.动态存储器为什么要刷新?刷新有哪些方法?完成由2K ×4位芯片构成4K ×8位存储器连接图。 动态MOS 存储单元存储信息的原理,是利用MOS 管栅极电容具有暂时存储信息的作用。但由于漏 电流的存在,栅极电容上存储的电荷不可能长久保持不变,因此为了及时补充漏掉的电荷,避免存储信息丢失,需要定时地给栅极电容补充电荷,通常把这种操作称作刷新或再生。 常用的刷新方式有三种,一种是集中式,另一种是分散式,第三种是异步式。 集中式刷新:在整个刷新间隔内,前一段时间重复进行读/写周期或维持周期,等到需要进行刷新操作时,便暂停读/写或维持周期,而逐行刷新整个存储器,它适用于高速存储器。 分散式刷新:把一个存储系统周期t c 分为两半,周期前半段时间t m 用来读/写操作或维持信息,周期后半段时间t r 作为刷新操作时间。这样,每经过128个系统周期时间,整个存储器便全部刷新一遍。 异步式刷新:前两种方式的结合 芯片数=总容量/容量=4k*8÷2k*4=4片。将每四块分为一组,形成32位的数据宽度,根据该储存容量大小一共需要16位地址线(可以根版据储存容量除以数据宽度来确定)。 将32K*8芯片组成128K*16的只读度器,所以首先位扩展将数据线8扩展到16,即D0~D15,然问后字 扩展32K 是15条地址线,128是17条地址线,所以要答用2/4译码器将地址线15扩展到17,需要用到的芯片是(128/32)*(16/8)=8,连接如图所示!红色为A0~A14的地址总线。 4. 今有4级流水线分别完成取值、指令译码并取数、运算、送结果四步操作,今假设完成各步操作的时间依次为100ns,100ns,80ns,50ns 。请问:(1)流水线的操作周期应设计为多少?(2)若相邻两条加减法指令发生数据相关(ADD :R1,R2,R3 ;R2+R3->R1与SUB :R4,R1,R5;R1-R5->R4),而且在硬件上不采取措施,那么第二条指令要推迟多少时间进行。(3)如果在硬件设计上加以改进,至少需推迟多少时间? 5.什么是中断?什么是多重中断?假定硬件原来的响应顺序为0→1→2,试设置中断屏蔽字,将中断优先级改为1→2→0。(注:中断允许用“0”表示,中断禁示“1”表示)。 二、大作业要求 大作业共需要完成三道题: 第1-2题选作一题,满分35分;

计算机组成原理-知识点

课程知识点分析 试题类型: 单项选择2’* 10 = 20’; 填空1’* 15 = 15’; 简答5’* 3 = 15’; 计算题6’* 5 = 30’; 分析论述10’*2 = 20’; 总分100’; 各位同学,在使用这份资料复习时,要注意: 带有红色标记的是重点内容; 尽管很多知识点只有几个字,但是涉及的内容却非常多,比如Cache映像机制;考虑到有些同学考试时有不好的习惯,为了避免麻烦,我在这儿只给大家提纲,请大家对应的看书; 请大家看时,把你特别不明白的地方标出来,发送给lei.z@,我在周一给大家讲解。蓝色标记是之前考过的,应该很重要。大题都在第四章以后--------------------------------------------------------------------- 第一章计算机系统概论 1.1教学内容介绍 (1计算机的发展与应用。 (2计算机系统的层次结构。

(3计算机的特点:快速性、通用性、准确性和逻辑性。 (4计算机的分类方法。 (5性能指标。 1.2重难点分析 (1计算机系统从功能上可划分为哪些层次?各层次在计算机系统中起什么作用? (2冯.诺依曼计算机体系的基本思想是什么?(选择、填空。指令和数据都是用二进制表示的 (3按照此思想设计的计算机硬件系统应由哪些部件组成?各起什么作用? (4如:指令和数据都存于存储器中,计算机如何区分它们? (5衡量计算机性能的主要指标- 机器字长(定义、主频、CPI、MIPS(含义、FLOPS等等 第三章系统总线 3.1教学内容 (1总线及分类。总线是连接各个部件的信息传输线,总线包括:片内总线、系统总线和通信总线。 (2理解总线标准的意义,看看你知道主板上的几种标准总线。 (3总线特性及性能指标: 包括机械特性、电气特性、功能特性和时间特性。 (4总线结构:单总线结构、双总线结构和三总线结构。 (5总线连接方式: 串行传送、并行传送和分时传送。

计算机组成原理作业

计算机组成原理作业 1 某机主存容量为4M×16位,且存储字长等于指令字长,若该机指令系统能完成97种操作,操作码位数固定,且具有直接、间接、变址、基址、相对、立即等六种寻址方式。 (1)画出一地址指令格式并指出各字段的作用。 (2)该指令直接寻址的最大范围。 (3)一次间址和多次间址的寻址范围。 (4)立即数的范围(十进制数表示。) (5)相对寻址的位移量(十进制数表示)。 (6)上述六种寻址方式的指令哪一种执行时间最短?哪一种最长?哪一种便于用户编制处理数组问题的程序?哪一种便于程序浮动? 为什么? (7)如何修改指令格式,使指令的直接寻址范围可扩大到4M? (8)为使一条转移指令能转移到主存的任一位置,可采取什么措施?请简要说明。 2 假设某机的指令长度可变(长度在1~4个字节内变化)。而且CPU 与存储器的数据的传送宽度为32位(每次读取32位)。试问如何区分一个存储字包含多少条指令? 3 说明数据在存储器中可以按“边界对准”或“边界不对准”两种方式存放的特点,并分析其利弊。 4 假设指令字长为16位,操作数的地址码位6位,指令有零地址、一地址、二地址、三地址格式。

(1)设操作码固定,若零地址指令有M种,一地址指令有N种,则二地址指令最多有几种? (2)采用扩展操作码技术,二地址指令最多有几种? (3)采用扩展操作码技术,若二地址指令有P条,零地址指令有Q 条,则一地址指令最多有几种? 5 某机器采用一地址格式的指令系统,允许直接和间接寻址。机器配备有如下硬件: ACC、MAB、MDR、PC、X、MQ、IR以及变址寄存器R X和基址寄存器R B,均为16位。 (1)若采用单字长指令,共能完成105种操作,则指令可直接寻址的范围是多少?一次间址的寻址范围是多少?画出其指令格式并说明个字段的含义。 (2)若采用双字长指令,操作码位数及寻址方式不变,则指令可直接寻址的范围是多少?画出其指令格式并说明个字段的含义。(3)若存储字长不变,可采用什么方法访问容量为8MB的主存?需增设哪些硬件? 6 设相对寻址的转移指令占两个字节,第一个字节是操作码,第二个字节是相对位移量,用补码表示。每当CPU从存储器取出一个字节时,即自动完成(PC)+1—>PC。 (1)设当前PC值为3000H,试问转移后的目标地址范围是什么?(2)设当前PC值为2000H,要求转移到201BH,则转移指令的第二字节的内容是什么?

计算机组成原理_在线作业_2

计算机组成原理_在线作业_2 交卷时间:2016-06-11 14:27:15 一、单选题 1. (5分) 用于对某个寄存器中操作数的寻址方式称为()寻址。 ? A. 间接 ? B. 寄存器直接 ? C. 寄存器间接 ? D. 直接 纠错 得分:5 知识点:4.4 典型指令 展开解析 答案B 解析 2. (5分) 程序查询方式的接口电路中应该包括()。 ? A. 设备选择电路、设备控制电路、设备状态标志 ? B. 设备选择电路、数据缓冲寄存器、设备控制电路

? C. 设备选择电路、数据缓冲寄存器、设备状态标志 ? D. 设备控制电路、数据缓冲寄存器、设备状态标志 纠错 得分:0 知识点:8.2 程序查询方式 展开解析 答案C 解析 3. (5分) 1946年研制成功的第一台电子数字计算机称为(),1949年研制成功的第一台程序内存的计算机称为()。 ? A. ENIAC , UNIVACI ? B. EDVAC ,MARKI ? C. ENIAC , MARKI ? D. ENIAC , EDSAC 纠错 得分:5 知识点:1.1 计算机发展概述 展开解析 答案D 解析 4. (5分) 以下四种类型的半导体存储器中,以传输同样多的字为比较条件,则读出数据传输

率最高的是()。 ? A. 闪速存储器 ? B. SRAM ? C. EPROM ? D. DRAM 纠错 得分:5 知识点:3.4 高速存储器 展开解析 答案A 解析 5. (5分) 一个存储单元是指能够存放()的所有存储单元的集合。 ? A. 两个字节 ? B. 一个字节 ? C. 一个二进制信息位 ? D. 一个机器字 纠错 得分:5 知识点:1.3 计算机的基本组成 展开解析 答案D 解析 6.

计算机组成原理练习题及参考答案

1.10111000当做无符号数的值为多少,当做整数的值为多少,当做定点小数的值为多少?(十进制数) 无符号:2^7+2^5+2^4+2^3=128+32+16+8=184 整数:10111000 定点小数:10111000 11000111(取反) 11000111(取反) + 1 + 1 11001000 11001000 -(2^3+2^6)=-72 -(1/2+1/16)=-9/16 2.已知接受到的信息为001100001111,其中有效数据位为8位,运用海明码检测,问信息传输是否有错?8位的数据值是多少? 编号 检测位 数据位 12 1100 0 M8 C1=M1⊕M2⊕M4⊕M5⊕M7=0 11 1011 0 M7 C2=M1⊕M3⊕M4⊕M6⊕M7=0 10 1010 1 M6 C4=M2⊕M3⊕M4⊕M8=0 9 1001 1 M5 C8=M5⊕M6⊕M7⊕M8=0 8 1000 0 C8 7 0111 0 M4 发:0111 6 0110 0 M3 收:0000 5 0101 0 M2 发 ⊕收=0111 4 0100 1 C4 即M4出错则数据实为00111001 3 0011 1 M1 2 0010 1 C2 1 0001 1 C1 3.已知原始报文为1111,生成多项式为G (x )=x 4+x 2 +x+1,求编码后的报文 (1):将生成多项式为G (x )=x 4+x 2 +x+1,转换成对应的二进制为10111 (2)生成多项式为5(R+1)位,将原始报文左移4(R)位为11110000 (3)进行模2除 _______00011__________ ______ 10111________________00010100_____________10111_______________010010________ 10111_____1101 11110000 10111 (4)编码CRC 码为11110011 4.采用IEEE754标准的32位短浮点数格式,即0-22位为尾数,23-30位为阶码位,第1位为数符,其中阶码偏置为127,试求出32位浮点代码CC9E23AF 的真值(结果可用任何进

计算机组成原理重点难点习题解答

计算机组成原理复习题 一.单项选择题 1.计算机中的主机包含( A )。 A.运算器、控制器、存储器 B.运算器、控制器、外存储器 C.控制器、内存储器、外存储器 D.运算器、内存储器、外存储器 2.二进制数10010010,相应的十进制数是(B)(128+16+2=146) A.136 B.146 C.145 D.144 3.要使8位寄存器A中高4位变0,低4位不变,可使用(A)。逻辑乘 A. A∧0FH→A B.A∨0FH→A C. A∧F0H→A D. A∨F0H→A 4.在计算机内部用于汉字存储处理的代码是(B) A.汉字输入码 B.汉字内码 C.汉字字型码 D.汉字交换码 5.转移指令执行时,只要将转移地址送入( C.程序计数器)中即可 A.地址寄存器 B.指令寄存器 C.程序计数器 D.变址寄存器 6.设机器中存有代码10100011B,若视为移码,它所代表的十进制数为( B.35)。 A.-23 B.35 C.53 D-113 7.将(-25.25)十进制数转换成浮点数规格化(用补码表示),其中阶符、阶码共4位,数符、尾数共8位,其结果 为( B.0101,10011011 ) A.0011,10010100 B.0101,10011011 C.0011,1110 D.0101,1100101 8.(2000)10化成十六进制数是( B.(7D0)16)。

A.(7CD)16 B.(7D0)16 C.(7E0)16 D.(7FO)16 9. 下列数中最大的数是((10011001)2=153 )。 A.(10011001)2 B.(227)8C。(98)16 D.(152)10 10. ( D. 移码)表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 11. 在小型或微型计算机里,普遍采用的字符编码是( D. ASCⅡ码)。 A. BCD码 B. 16进制 C. 格雷码 D. ASCⅡ码 12. 下列有关运算器的描述中,(D. 既做算术运算,又做逻辑运算)是正确的。 A.只做算术运算,不做逻辑运算 B. 只做加法 C.能暂时存放运算结果 D. 既做算术运算,又做逻辑运算 13.控制存储器存放的是(C.微程序)。 A.微程序和数据 B.机器指令和数据 C.微程序 D.机器指令 14. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为( B. 立即寻址)。 A. 隐含寻址 B. 立即寻址 C. 寄存器寻址 D. 直接寻址 15. 下面描述的RISC机器基本概念中正确的表达是( B. RISC机器一定是流水CPU)。 A. RISC机器不一定是流水CPU B. RISC机器一定是流水CPU C. RISC机器有复杂的指令系统 D. CPU配备很少的通用寄存器 16. 系统总线中地址线的功能是(D. 用于指定主存和I/O设备接口电路的地址)。 A. 用于选择主存单元地址 B. 用于选择进行信息传输的设备

计算机组成原理作业讲解1-4章 答案

1.1 概述数字计算机的发展经过了哪几个代?各代的基本特征是什么? 略。 1.2 你学习计算机知识后,准备做哪方面的应用? 略。 1.3 试举一个你所熟悉的计算机应用例子。 略。 1.4 计算机通常有哪些分类方法?你比较了解的有哪些类型的计算机? 略。 1.5 计算机硬件系统的主要指标有哪些? 答:机器字长、存储容量、运算速度、可配置外设等。 答:计算机硬件系统的主要指标有:机器字长、存储容量、运算速度等。 1.6 什么是机器字长?它对计算机性能有哪些影响? 答:指CPU一次能处理的数据位数。它影响着计算机的运算速度,硬件成本、指令系统功能,数据处理精度等。 1.7 什么是存储容量?什么是主存?什么是辅存? 答:存储容量指的是存储器可以存放数据的数量(如字节数)。它包括主存容量和辅存容量。 主存指的是CPU能够通过地址线直接访问的存储器。如内存等。 辅存指的是CPU不能直接访问,必须通过I/O接口和地址变换等方法才能访问的存储器,如硬盘,u盘等。 1.8 根据下列题目的描述,找出最匹配的词或短语,每个词或短语只能使用一次。(1)为个人使用而设计的计算机,通常有图形显示器、键盘和鼠标。 (2)计算机中的核心部件,它执行程序中的指令。它具有加法、测试和控制其他部件的功能。 (3)计算机的一个组成部分,运行态的程序和相关数据置于其中。 (4)处理器中根据程序的指令指示运算器、存储器和I/O设备做什么的部件。 (5)嵌入在其他设备中的计算机,运行设计好的应用程序实现相应功能。 (6)在一个芯片中集成几十万到上百万个晶体管的工艺。 (7)管理计算机中的资源以便程序在其中运行的程序。 (8)将高级语言翻译成机器语言的程序。 (9)将指令从助记符号的形式翻译成二进制码的程序。 (10)计算机硬件与其底层软件的特定连接纽带。 供选择的词或短语: 1、汇编器 2、嵌入式系统 3、中央处理器(CPU) 4、编译器 5、操作系统 6、控制器 7、机器指令 8、台式机或个人计算机 9、主存储器10、VLSI 答:(1)8,(2)3,(3)9,(4)6,(5)2, (6)10,(7)5,(8)4,(9)1,(10)7 计算机系统有哪些部分组成?硬件由哪些构成? 答:计算机系统硬件系统和软件系统组成。 硬件由控制器、存储器、运算器、输入设备和输出设备五大部件构成 1.9 冯·诺伊曼V on Neumann计算机的主要设计思想是什么? 略。 1.10 计算机硬件有哪些部件,各部件的作用是什么?

计算机组成原理选择题

A.-127 ~127;B.-128 ~+128;C.-128 ~+127;D.-128 ~+128。 2.设机器数采用补码形式(含1位符号位),若寄存器内容为9BH,则对应的十进制数为______。A.-27;B.-97;C.-101;D.155。答案: 3.设寄存器内容为80H,若它对应的真值是–127,则该机器数是______。 A.原码;B.补码;C.反码;D.移码。答案: 4.若9BH表示移码(含1位符号位).其对应的十进制数是______。 A.27;B.-27;C.-101;D.101。答案: 5.当定点运算发生溢出时,应______ 。 A.向左规格化;B.向右规格化;C.发出出错信息;D.舍入处理。答案: 6.设寄存器内容为10000000,若它等于-0,则为______。 A.原码;B.补码;C.反码;D.移码。答案: 7.设寄存器内容为11111111,若它等于+127,则为______。 A.原码;B.补码;C.反码;D.移码。答案: 8.在浮点机中,判断原码规格化形式的原则是______。 A.尾数的符号位与第一数位不同;B.尾数的第一数位为1,数符任意; C.尾数的符号位与第一数位相同;D.阶符与数符不同。答案: 9.浮点数的表示范围和精度取决于______ 。 A.阶码的位数和尾数的机器数形式;B.阶码的机器数形式和尾数的位数; C.阶码的位数和尾数的位数;D.阶码的机器数形式和尾数的机器数形式。答案: 10. 在定点补码运算器中,若采用双符号位,当______时表示结果溢出。 A.双符号相同B.双符号不同C.两个正数相加D.两个负数相加答案:

计算机组成原理课程设计

计算机组成原理课程设 计 WTD standardization office【WTD 5AB- WTDK 08- WTD 2C】

《计算机组成原理》大作业报告 题目名称:交通灯控制系统设计 学院(部):计算机学院 专业:计算机科学与技术 学生姓名: 班级 学号 最终评定成绩: 湖南工业大计算机学院 目录 摘要 交通在人们的日常生活中占有重要的地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流

量、提高道路通行能力,减少交通事故有明显效果。近年来随着科技的飞速发展,的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 本系统采用单片机AT89S52为中心器件来设计交通灯控制器,系统实用性强、操作简单、扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示以及倒计时时间。 本设计系统由单片机I/O口扩展系统、交通灯状态显示系统、LED数码显示系统、复位电路等几大部分组成。系统除基本的交通灯功能外,还具有倒计时等功能,较好的模拟实现了十字路口可能出现的状况。 软件上采用C51编程,主要编写了主程序,LED数码管显示程序,中断程序延时程序等。经过整机调试,实现了对十字路口交通灯的模拟。 1. 引言 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在19世纪就已出现了。 1858年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,19xx年始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。 19xx年,又出现了带控制的红绿灯和红外线红绿灯。带控制的 4 红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。19xx年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉

相关文档
相关文档 最新文档