文档库 最新最全的文档下载
当前位置:文档库 › 硬件电路设计流程

硬件电路设计流程

硬件电路设计流程
硬件电路设计流程

一.方案设计

平台的选择很多时候和系统选择的算法是相关的,所以如果要提高架构,平台的设计能力,得不断提高自身的算法设计,复杂度评估能力,带宽分析能力。

常用的主处理器芯片有:单片机,ASIC,RISC(DEC Alpha、ARC、ARM、MIPS、PowerPC、SPARC和SuperH ),DSP和FPGA等,这些处理器的比较在网上有很多的文章,在这里不老生常谈了,这里只提1个典型的主处理器选型案例。

比如市场上现在有很多高清网络摄像机(HD-IPNC)的设计需求,而IPNC的解决方案也层出不穷,TI的解决方案有DM355、DM365、DM368等,海思提供的方案则有Hi3512、Hi3515、Hi3520等,NXP提供的方案有PNX1700、PNX1005等。

对于HD-IPNC的主处理芯片,有几个主要的技术指标:视频分辨率,视频编码器算法,最高支持的图像抓拍分辨率,CMOS的图像预处理能力,以及网络协议栈的开发平台。

Hi3512单芯片实现720P30 H.264编解码能力,满足高清IP Camera应用, Hi3515可实现1080P30的编解码能力,持续提升高清IP Camera的性能。

DM355单芯片实现720P30 MPEG4编解码能力,DM365单芯片实现720P30 H.264编解码能力, DM368单芯片实现1080P30 H.264编解码能力。

DM355是2007 Q3推出的,DM365是2009 Q1推出的,DM368是2010 Q2推出的。海思的同档次解决方案也基本上与之同时出现。

海思和TI的解决方案都是基于linux,对于网络协议栈的开发而言,开源社区的资源是没有区别的,区别的只在于芯片供应商提供的SDK开发包,两家公司的SDK离产品都有一定的距离,但是linux的网络开发并不是一个技术难点,所以并不影响产品的推广。

作为IPNC的解决方案,在720P时代,海思的解决方案相对于TI的解决方案,其优势是支持了H.264编解码算法,而TI只支持了MPEG4的编解码算法。虽然在2008年初,MPEG4的劣势在市场上已经开始体现出来,但在当时这似乎并不影响DM355的推广。

对于最高支持的图像抓拍分辨率,海思的解决方案可以支持支持JPEG抓拍3M Pixels@5fps,DM355最高可以支持5M Pixels,虽然当时没有成功的开发成5M Pixel的抓拍(内存分配得有点儿问题,后来就不折腾了),但是至少4M Pixel的抓拍是实现了的,而且有几个朋友已经实现了2560x1920这个接近5M Pixel的抓拍,所以在这一点上DM355稍微胜出。

因为在高清分辨率下,CCD传感器非常昂贵,而CMOS传感器像原尺寸又做不大,导致本身

在低照度下就性能欠佳的CMOS传感器的成像质量在高分辨率时变差,于是TI在DM355处理器内部集成了一个叫做ISP的图像预处理模块,它由CCDC,IPIPE,IPIPEIF和H3A模块组成,能帮助实现把CMOS的RAW DATA(一般是指Bayer格式数据)转成YCbCr数据,同时实现包括白平衡调节,直方图统计,自动曝光,自动聚焦等采用CMOS解决方案所必须的功能,故DM355处理器就可以无缝的对接各种图像传感器了。而海思的解决方案对于CMOS 的选择就有局限性,它只能用OVT一些解决方案,因为OVT的部分Sensor集成了图像预处理功能。但是DM355不仅可以接OVT的解决方案,还可接很多其他厂家的CMOS sensor,比如Aptina的MT9P031。所以在图像预处理能力方面,DM355继续胜出。

在IPNC这个领域,只要每台挣1个美金就可以开始跑量,所以在那个时代,很少有人会去死抠H.264和MPEG4的性能差异,而且TI已经给了市场一个很好的预期,支持H.264的DM365很快就会面世。所以IPNC这个方案而言,当时很多企业都选择了DM355的方案。有些朋友现在已经从DM355成功过渡到DM365、DM368,虽然你有时候会骂TI,为什么技术不搞得厉害点,在当年就一步到位,浪费了多少生产力。但是技术就是一点一点积累起来,对于个人来不得半点含糊,对于大企业,他们也无法大跃进。DM355的CMOS预处理技术也有很多Bug,SDK也有很多bug,有时会让你又爱又恨,但是技术这东西总是没有十全十美的,能在特定的历史条件下,满足市场需求,那就是个好东西。

当然海思的解决方案在DVS、DVR方面也大放异彩,一点也不逊色于TI的解决方案。

其它芯片的选型则可以参考各芯片厂商官方网站的芯片手册,进行PK,目前大部分芯片厂商的芯片手册都是免NDA下载的,如果涉及到NDA问题,那就得看个人和公司的资源运作能力了,一般找一下国内相应芯片的总代理商,沟通一下,签个NDA还是可以要到相应资料的。每隔一周上各IC大厂的官方主页,关注一下芯片发展的动态这是每个电子工程师的必须课啊,这不仅为了下一个方案设计积累了足够的资本,也为公司的产品策略做足了功课。

芯片采购是电子电路设计过程中不可或缺的一个环节。一般情况下,在各IC大厂上寻找的芯片,只要不是EOL掉的芯片,一般都能采购到。但是作为电子电路的设计者,很少不在芯片采购问题上栽过。常见的情况有以下几种:

1,遇到经济危机,各IC厂商减产,导致芯片供货周期变长,有些IC厂商甚至提出20周货期的订货条件。印象很深的2009年上半年订包PTH08T240WAD,4-6周就取到了货,可是到了2009年下半年,要么是20周货期,要么就是价格翻一番,而且数量只有几个。

2,有些芯片虽然在datasheet上写明了有工业级产品,但是由于市场上用量非常少,所以导致IC厂商生产非常少,市场供货也非常紧缺,这就让要做宽温工业级产品的企业或者军工级产品的企业付出巨大的代价。

3,有些芯片厂商的代理渠道控制得非常严格,一些比较新的芯片在一般的贸易商那采购不到,只能从代理商那订。如果数量能达到一个MPQ 或者MOQ的要求,一般代理商就会帮你采购。但是如果只是要一两个工程样品,那么就得看你和代理商的关系了,如果你刚进入这个行业的话,那很有可能你就无法从代理商这获得这个工程样片。

4,有些芯片是有限售条件,如果芯片是对中国限售而不对亚洲限售的话,一般可以通过新加坡搞进来,如果芯片是对亚洲限售的话,那采购难度得大大的增加,采购的价格也会远远超出你的想象空间。先看一个芯片采购案例:

之前我给一朋友推荐了一个FPGA芯片,他后来给我发了一段聊天记录,如下:

2010-8-3 9:13:12 A B XC6SLX16-2CSG225C 订货250.00

2010-8-3 9:22:10 B A 订货多久呢?

2010-8-3 9:22:37 A B 2周

2010-8-13 14:22:47 A B XC6SLX16-2CSG225C 这个型号,你那天跟我定的,本来是货期两周的,但是这个型号属于敏感型号,禁运国内的,我们要第三方去代购,所以现在货期要5周左右,你看能接受吗?

注:B为芯片采购商,A为芯片供应商

回顾一下当时发生的情形:

2010-8-3,B设计好方案,确定好芯片型号后,因为芯片型号比较新,害怕芯片买不到,于是向芯片供应商A确定了一下芯片的货源情况,当获知价格和货期之后,B非常高兴,非常满意地跟我说,你推荐的芯片性价比真不错,等原理图设计完之后,就马上去订货。

2010-8-13,B设计完原理图后,B要向A下单时,突然收到A的上述回复,于是他一下子就蒙了,因为2周就可以完成PCB layout,1周就可以完成PCB加工生产。也就意味着B即使2010-8-13下单,也得干等2周的时间才能开始焊接调试。(最后A这供应商又获知这芯片是对中国禁售的,没有办法帮B搞定,最后B从另外一家芯片贸易商那花了5周的时间才采购到,而且价格涨到了450)

耽误2周可能还算是少的了,遇到其他特殊情况,芯片搞不到也都是有可能的,如果是原理图设计好了之后遇到这种情况的话,那简直就要哭了,如果是等PCB layout好了之后再遇到这种情况的话,那就是欲哭无泪了。

所以建议在芯片方案确定之后,就马上下单采购芯片,芯片询价时获得的价格和货期消息有时并不一定准确,因为IC行业的数据库的更新有时具有一定的滞后性,只有下单后等到供应商的合同确认,那才算尘埃落定。

分析系统主芯片对纹波的要求

由于直流稳定电源一般是由交流电源经整流稳压等环节而形成的,这就不可避免地在直流稳定量中多少带有一些交流成份,这种叠加在直流稳定量上的交流分量就称之为纹波,纹波对系统有很多负面的影响,比如纹波太大会造成主处理器芯片的重启,或者给某些AD,DA引入噪声。一个典型的现象就是,如果电源的纹波叠加到音频DA芯片的输出上,则会造成嗡嗡的杂音。下表是设计中所使用芯片对纹波的要求,以及电源芯片能够提供的纹波范围,纹波是选择电源芯片的重要参数,这里只列举一两个芯片进行说明:

芯片纹波统计表

分析系统主芯片的电压上电顺序要求

当今的大多数电子产品都需要使用多个电源电压。电源电压数目的增加带来了一项设计难题,即需要对电源的相对上电和断电特性进行控制,以消除数字系统遭受损坏或发生闭锁的可能性。一般这个在芯片手册中会有详细说明,建议遵守芯片手册中的要求进行设计。

分析系统所有芯片的功耗

统计板卡上用到的所有芯片的功耗,大部分芯片的功耗在芯片手册上都有详细说明,部分芯片的功耗在手册上没有明确写明,比如FPGA,这时候可以根据以往设计的经验值,或者事先将FPGA的逻辑写好,借助EDA工具进行统计,比如ISE的Xpower Analyzer,下面的表格是一个功耗分析的统计案例。注:因为数据比较多,所以这里只选择了3.3V的几个芯片作为代表进行统计。

论证选择的电源方案能否满足以上的所有要求

根据对上电顺序的要求,纹波以及功耗的分析,选择正确的电源方案。电源设计是一个细活,数据统计整理是一个不可缺少的工种,养成良好的设计习惯,是“一板通”必需的环节。

电源方案的选择,学问非常多,分析的文章更是数不胜数。在这里只列举几个规律性的东西。

在消费级产品里面,由于成本非常敏感,散热要求比较高,所以一般倾向于DC/DC的解决方案,而且现在越来越多倾向于Power Management Multi-Channel IC(PMIC)的解决方案。DC/DC 的一个比较大的缺点就是纹波大,另外如果电感和电容设计不合理的话,电压就会很不稳定。

印象非常深的就是有一次用DC/DC给FPGA供电时,根据FPGA的Power Distribution System (PDS)分析,加了足够多的330uF钽电容,结果DC/DC就经常出问题,所以DC/DC的设计一定要细心。大功率电路设计时,电感的选择也非常的关键,参考设计中很多电感型号在北京中发电子市场或者深圳赛格广场上都是买不到的,而国内市场上的替代品往往饱和电流要小于参考设计中电感的要求值,所以建议设计时也要先买到符合要求的电感之后,再开始做电感的Footprint。

在非消费品领域,LDO、电源模块用得相对较多,因为电源纹波小,设计简单。我初学电路的时候,当时就特怵DC/DC的设计,所以当时一直用的LDO和电源模块,直到后来开始设计消费级产品,因为成本的考虑,才不得不开始设计DC/DC,不过现在IC设计厂商已经基本上都把MOSFET集成到芯片里面去了,所以DC/DC的设计的复杂度也变小了。

二.EDA设计工具

硬件设计离不开EDA设计工具,在大学本科时代,当年教学中用得最多的工具是Protel 99SE和Protel DXP。当时老师布置的作业,或者参加电子设计大赛的作品,基本上都用这两个版本的EDA工具来完成。由于时间久远,印象已经不是很深了,仅有的一点印象是Protel 提供的常用器件库还是比较丰富的,在懒于设计封装库的时候,最高兴的事情,莫过于在Protel 的lib中找到一个自己想要使用的器件。

这里不得不提一个小插曲,刚开始设计单层PCB板时,PCB layout竟然依赖于Protel的自动布线工具,由于是单层板,布线工具都无法完成设计,所以还得手动飞10几根线,而每通过努力减少一根飞线,就会激动不已。刚学PCB layout时,作业完成时,大家讨论最多的不是电路正确与否,而是飞线的数量。为了完成作业,当时大家都甘于用单层PCB板加飞线的模式来实现,有时候还会用“面包板”来辅助完成,而不考虑多层板的设计。这主要是环境问题,学校的电子工艺实习基地只免费提供设计单面板,要设计多层板,就得自己掏钱到外面去做,故大家只能作罢,在单面板的飞线训练中满足自己初萌的电子情感。

虽然在后来的毕业设计和研究生项目设计,以及后来的工作中都没有再用过Protel,但是现在如果跟以前的同学聊起来,估计最亲切的EDA工具还是Protel,不得不承认Altium的Protel 大学计划开展的多么成功。

步入本科毕业设计阶段,要完成一个视频采集压缩系统。当时实验室的所有师兄师姐都没有人用Protel了,为了继承他们已有的封装库资源,为了在半年内能够完成这个项目,只好选择他们最熟悉的Mentor Graphic WG2004。当时最痛苦的莫过于制作FPGA的symbol库了,1000多条腿,印象比较深的就是经常做symbol库做一半时,死机又忘保存了。WG2004的原理图设计工具已经留不下什么印象了,配套使用的Expedition PCB的“推挤”功能还算比较强大,PCB layout时比用Protel时舒服多了。

再后来就是到某公司实习阶段,他们原理图工具用的是Cadence的Concept HDL,Concept HDL画原理图时,比较耗CPU资源,有时也会把机子搞死。虽然后来工作工程中也没有再用Concept HDL画过原理图,但是,期间学会了用Allegro PCB Librarian part developer结合Excel 的方法来设计symbol库,到目前为止,我一直认为这是做symbol的一个非常好的工具。

工作阶段,在面对着Capture CIS简洁的设计风格,CPU资源消耗极低,工业界又有这么多Capture CIS参考设计解决方案的诱惑下,再次更换原理图设计工具。

Allegro PCB比较吸引我的一个地方,就是其sub-drawing功能,这个功能使得电路模块化,模块重用成为了可能,同时此功能还使多人协作设计PCB成为了可能。研究生阶段,有个PCB layout项目比较庞大,有2万多腿,但是功能模块化比较明显,主体系统可以分为一个FPGA 模块,一个ARM子系统模块,于是我们将PCB layout任务分由两个人来完成,这样就把设计时间缩短了近一半。协作完成PCB Layout工作,这必然也是日后电路系统必然要面对的一个问题。

现在终于形成了比较稳定的EDA工具组合:Allegro PCB Librarian part developer设计symbol,Capture CIS设计原理图,Allegro PCB设计Footprint和PCB。

EDA工具选择的时候,其实往往都摆脱不了资源的限制问题和环境的限制问题。而对于EDA工具的选择,最好的工具就是你最熟练的工具,EDA只是用来实现你想法的一个工具,所以并不用太在意,每个EDA工具都会有他一些独特的优点。

三.原理图设计

1设计前的准备工作

1.1规划好各种电容值,电阻值,电感值,磁珠,二极管的封装

1.1.1陶瓷电容,统一命名为C…

0.1uF, 0.01uF, 0.001uF的建议用C0402封装,这样Layout时,才能尽可能的把去耦电容放到BGA的底下,减少引线电感

1uF以下的不常见电容用C0603(如560pF,27pF,10pF等)

2.2uF-10uF的建议用C0805

1.1.2极性电容*_P

使用极性电容时,要考虑耐压值,比如同为100UF,封装不同,耐压值就不同

47uF以上建议用C3528_P的

22uF-47uF的用C1206_P

1.1.3电阻,统一命名为R….

0ohm,22ohm,33ohm,10K,20k,2k等用量比较大的,建议用R0402,以减小PCB板的使用面积其它阻值的电阻,包括精密电阻封装建议用R0603

功率电阻,要考虑耐功率大小

1.1.4电感,统一命名为L…

选定电感的封装的时候,一定要做市场实地调查,同时要考虑电感要承受的电流大小。建议先评估好电流大小之后,再根据电流的大小去市场上购买电感,然后再回来做封装。

1.1.5磁珠,统一命名为L…

1.1.6LED灯,统一命名为LED…

1.2规划各芯片的封装,封装名(footprint)

库(footprint)可以先不做,但是封装名(footprint)要先定义出名字

1.3设计Symbol

从芯片供应商的官方网站上找symbol,或者借助Capture的Internet Component Assistant(ICA)进行检索,如果再找不到就只能自己做symbol了,方法还是建议用把芯片手册中的PIN number和PIN name复制,粘贴,整理到excel中,然后复制到Allegro PCB Librarian part developer中,制作完毕之后再转成Capture的格式。

2Review原理图时的注意事项

1. 不能完全相信公版的设计,比如TI某开发板供应商提供了一款DSP的原理图,但是该

DSP的原理图的核心芯片的封装和TI现在产品库里面的却不相同,原因就是该开发板供应商当时设计时用的是TI的样片,而该样片和后来release的产品的封装不同。

2. 注意逻辑电平的匹配,USB的输出是5V的,USB模块相关芯片的逻辑电平有可能是

5V,此时其它模块芯片的3.3V控制信号就可能需要进行电平转换后,才能与其相连。

3. **板模式设计时,地和电源的引脚不能太少,这样能保证电流的供应

4. 电源,地的命名是否采用全局信号名,如果用的不是全局信号名,那么不同页间的电

源,地网络是不会连在一起的

5. 电源和地的命名要注意check,可以用Property Editor的Globals进行检查。如果原理图

是继承了很多版本的参考设计,在复制粘贴的过程中,往往会出现一些孤立电源网络点,或者命名不统一的网络。

6. 用Annotate生成页间连接符,注意页码符号,一定要在相应的页找到该网络名,避免

孤立网络的出现(对于总线,总线中的一根信号线和别的页连了,但是其它信号线没有,这时候,这个总线也是有页间连接符的,这时不易察觉出问题)。

7. 检查每个器件的引脚,确定其是否相连,因为有时候可能画的线靠得很近却没有相连

在一起。

8. 检查信号线的特别是数据总线和地址总线的顺序是否正确,比如可能应该是1 (15)

对应1……15的,却写成了1……15对应15……1。

9. 用网络名的方式来连接两引脚时,注意命名的统一,比如PROM那边的有个网络名叫

做D0(阿拉伯数字0),FPGA这边有个网络名叫做DO(大写英文字母O),他们本来是应该连在一起的,但是由于网络名不一样,所以结果生成的网表中,这两个引脚就没有连在一起。所以对于采用网络名来连接引脚时,建议用复制粘贴的方式。

10. 进行PCB layout前,一定要导出bom,对电阻电容的封装重新审定一下,有时会发现同

一容值的电容却采用不同的封装,这会给采购和焊接增加不必要的开销。

原理图设计最重要的是方案设计的思想,该注意的事项也远不只上述10点,上面只是起一个抛砖引玉的作用,原理图设计最重要的还是细心。

硬件电路设计过程经验分享 (1)

献给那些刚开始或即将开始设计硬件电路的人。时光飞逝,离俺最初画第一块电路已有3年。刚刚开始接触电路板的时候,与你一样,俺充满了疑惑同时又带着些兴奋。在网上许多关于硬件电路的经验、知识让人目不暇接。像信号完整性,EMI,PS设计准会把你搞晕。别急,一切要慢慢来。 1)总体思路。 设计硬件电路,大的框架和架构要搞清楚,但要做到这一点还真不容易。有些大框架也许自己的老板、老师已经想好,自己只是把思路具体实现;但也有些要自己设计框架的,那就要搞清楚要实现什么功能,然后找找有否能实现同样或相似功能的参考电路板(要懂得尽量利用他人的成果,越是有经验的工程师越会懂得借鉴他人的成果)。 2)理解电路。 如果你找到了的参考设计,那么恭喜你,你可以节约很多时间了(包括前期设计和后期调试)。马上就copy?NO,还是先看懂理解了再说,一方面能提高我们的电路理解能力,而且能避免设计中的错误。 3)没有找到参考设计? 没关系。先确定大IC芯片,找datasheet,看其关键参数是否符合自己的要求,哪些才是自己需要的关键参数,以及能否看懂这些关键参数,都是硬件工程师的能力的体现,这也需要长期地慢慢地积累。这期间,要善于提问,因为自己不懂的东西,别人往往一句话就能点醒你,尤其是硬件设计。 4)硬件电路设计主要是三个部分,原理图,pcb,物料清单(BOM)表。 原理图设计就是将前面的思路转化为电路原理图。它很像我们教科书上的电路图。

pcb涉及到实际的电路板,它根据原理图转化而来的网表(网表是沟通原理图和pcb之间的桥梁),而将具体的元器件的封装放置(布局)在电路板上,然后根据飞线(也叫预拉线)连接其电信号(布线)。完成了pcb布局布线后,要用到哪些元器件应该有所归纳,所以我们将用到BOM表。 5)用什么工具? Protel,也就是altimuml容易上手,在国内也比较流行,应付一般的工作已经足够,适合初入门的设计者使用。 6)to be continued...... 其实无论用简单的protel或者复杂的cadence工具,硬件设计大环节是一样的(protel上的操作类似windwos,是post-command型的;而cadence的产品concept&allegro是pre-command型的,用惯了protel,突然转向cadence的工具,会不习惯就是这个原因)。设计大环节都要有1)原理图设计。2)pcb设计。3)制作BOM 表。现在简要谈一下设计流程(步骤): 1)原理图库建立。要将一个新元件摆放在原理图上,我们必须得建立改元件的库。库中主要定义了该新元件的管脚定义及其属性,并且以具体的图形形式来代表(我们常常看到的是一个矩形(代表其IC BODY),周围许多短线(代表IC管脚))。protel创建库及其简单,而且因为用的人多,许多元件都能找到现成的库,这一点对使用者极为方便。应搞清楚ic body,ic pins,input pin,output pin,analog pin,digital pin,power pin等区别。 2)有了充足的库之后,就可以在原理图上画图了,按照datasheet和系统设计的要

硬件电路设计基础知识

硬件电子电路基础

第一章半导体器件 §1-1 半导体基础知识 一、什么是半导体 半导体就是导电能力介于导体和绝缘体之间的物质。(导电能力即电导率)(如:硅Si 锗Ge等+4价元素以及化合物)

二、半导体的导电特性 本征半导体――纯净、晶体结构完整的半导体称为本征半导体。 硅和锗的共价键结构。(略) 1、半导体的导电率会在外界因素作用下发生变化 ?掺杂──管子 ?温度──热敏元件 ?光照──光敏元件等 2、半导体中的两种载流子──自由电子和空穴 ?自由电子──受束缚的电子(-) ?空穴──电子跳走以后留下的坑(+) 三、杂质半导体──N型、P型 (前讲)掺杂可以显著地改变半导体的导电特性,从而制造出杂质半导体。 ?N型半导体(自由电子多) 掺杂为+5价元素。如:磷;砷P──+5价使自由电子大大增加原理:Si──+4价P与Si形成共价键后多余了一个电子。 载流子组成: o本征激发的空穴和自由电子──数量少。 o掺杂后由P提供的自由电子──数量多。 o空穴──少子 o自由电子──多子 ?P型半导体(空穴多) 掺杂为+3价元素。如:硼;铝使空穴大大增加 原理:Si──+4价B与Si形成共价键后多余了一个空穴。 B──+3价 载流子组成:

o本征激发的空穴和自由电子──数量少。 o掺杂后由B提供的空穴──数量多。 o空穴──多子 o自由电子──少子 结论:N型半导体中的多数载流子为自由电子; P型半导体中的多数载流子为空穴。 §1-2 PN结 一、PN结的基本原理 1、什么是PN结 将一块P型半导体和一块N型半导体紧密第结合在一起时,交界面两侧的那部分区域。 2、PN结的结构 分界面上的情况: P区:空穴多 N区:自由电子多 扩散运动: 多的往少的那去,并被复合掉。留下了正、负离子。 (正、负离子不能移动) 留下了一个正、负离子区──耗尽区。 由正、负离子区形成了一个内建电场(即势垒高度)。 方向:N--> P 大小:与材料和温度有关。(很小,约零点几伏)

硬件电路设计具体详解

2系统方案设计 2.1 数字示波器的工作原理 图2.1 数字示波器显示原理 数字示波器的工作原理可以用图2.1 来描述,当输入被测信号从无源探头进入到数字示波器,首先通过的是示波器的信号调理模块,由于后续的A/D模数转换器对其测量电压有一个规定的量程范围,所以,示波器的信号调理模块就是负责对输入信号的预先处理,通过放大器放大或者通过衰减网络衰减到一定合适的幅度,然后才进入A/D转换器。在这一阶段,微控制器可设置放大和衰减的倍数来让用户选择调整信号的幅度和位置范围。 在A/D采样模块阶段,信号实时在离散点采样,采样位置的信号电压转换为数字值,而这些数字值成为采样点。该处理过程称为信号数字化。A/D采样的采样时钟决定了ADC采样的频度。该速率被称为采样速率,表示为样值每秒(S/s)。A/D模数转换器最终将输入信号转换为二进制数据,传送给捕获存储区。 因为处理器的速度跟不上高速A/D模数转换器的转换速度,所以在两者之间需要添加一个高速缓存,明显,这里捕获存储区就是充当高速缓存的角色。来自ADC的采样点存储在捕获存储区,叫做波形点。几个采样点可以组成一个波形点,波形点共同组成一条波形记录,创建一条波形记录的波形点的数量称为记录长度。捕获存储区内部还应包括一个触发系统,触发系统决定记录的起始和终止点。 被测的模拟信号在显示之前要通过微处理器的处理,微处理器处理信号,包括获取信号的电压峰峰值、有效值、周期、频率、上升时间、相位、延迟、占空比、均方值等信息,然后调整显示运行。最后,信号通过显示器的显存显示在屏幕上。 2.2 数字示波器的重要技术指标 (1)频带宽度 当示波器输入不同频率的等幅正弦信号时,屏幕上显示的信号幅度下降3dB 所对应的输入信号上、下限频率之差,称为示波器的频带宽度,单位为MHz或GHz。

电路硬件设计基础

1.1电路硬件设计基础 1.1.1电路设计 硬件电路设计原理 嵌入式系统的硬件设计主要分3个步骤:设计电路原理图、生成网络表、设计印制电路板,如下图所示。 图1-1硬件设计的3个步骤 进行硬件设计开发,首先要进行原理图设计,需要将一个个元器件按一定的逻辑关系连接起来。设计一个原理图的元件来源是“原理图库”,除了元件库外还可以由用户自己增加建立新的元件,用户可以用这些元件来实现所要设计产品的逻辑功能。例如利用Protel 中的画线、总线等工具,将电路中具有电气意义的导线、符号和标识根据设计要求连接起来,构成一个完整的原理图。 原理图设计完成后要进行网络表输出。网络表是电路原理设计和印制电路板设计中的一个桥梁,它是设计工具软件自动布线的灵魂,可以从原理图中生成,也可以从印制电路板图中提取。常见的原理图输入工具都具有Verilog/VHDL网络表生成功能,这些网络表包含所有的元件及元件之间的网络连接关系。 原理图设计完成后就可进行印制电路板设计。进行印制电路板设计时,可以利用Protel 提供的包括自动布线、各种设计规则的确定、叠层的设计、布线方式的设计、信号完整性设计等强大的布线功能,完成复杂的印制电路板设计,达到系统的准确性、功能性、可靠性设计。 电路设计方法(有效步骤) 电路原理图设计不仅是整个电路设计的第一步,也是电路设计的基础。由于以后的设计工作都是以此为基础,因此电路原理图的好坏直接影响到以后的设计工作。电路原理图的具体设计步骤,如图所示。

图1-2原理图设计流程图 (1)建立元件库中没有的库元件 元件库中保存的元件只有常用元件。设计者在设计时首先碰到的问题往往就是库中没有原理图中的部分元件。这时设计者只有利用设计软件提供的元件编辑功能建立新的库元件,然后才能进行原理图设计。 当采用片上系统的设计方法时,系统电路是针对封装的引脚关系图,与传统的设计方法中采用逻辑关系的库元件不同。 (2)设置图纸属性 设计者根据实际电路的复杂程度设置图纸大小和类型。图纸属性的设置过程实际上是建立设计平台的过程。设计者只有设置好这个工作平台,才能够在上面设计符合要求的电路图。 (3)放置元件 在这个阶段,设计者根据原理图的需要,将元件从元件库中取出放置到图纸上,并根据原理图的需要进行调整,修改位置,对元件的编号、封装进行设置等,为下一步的工作打下基础。 (4)原理图布线 在这个阶段,设计者根据原理图的需要,利用设计软件提供的各种工具和指令进行布线,将工作平面上的元件用具有电气意义的导线、符号连接起来,构成一个完整的原理图。 (5)检查与校对 在该阶段,设计者利用设计软件提供的各种检测功能对所绘制的原理图进行检查与校对,以保证原理图符合电气规则,同时还应力求做到布局美观。这个过程包括校对元件、导线位置调整以及更改元件的属性等。 (6)电路分析与仿真 这一步,设计者利用原理图仿真软件或设计软件提供的强大的电路仿真功能,对原理图的性能指标进行仿真,使设计者在原理图中就能对自己设计的电路性能指标进行观察、测试,从而避免前期问题后移,造成不必要的返工。

STM32硬件电路设计注意事项

STM32的基本系统主要涉及下面几个部分: 1、电源 1)、无论是否使用模拟部分和AD部分,MCU外围出去VCC和GND,VDDA、VSSA、Vref(如果封装有该引脚)都必需要连接,不可悬空; 2)、对于每组对应的VDD和GND都应至少放置一个104的陶瓷电容用于滤波,并接该电容应放置尽量靠近MCU; 2、复位、启动选择 1)、Boot引脚与JTAG无关。其仅是用于MCU启动后,判断执行代码的起始地址; 2)、在电路设计上可能Boot引脚不会使用,但要求一定要外部连接电阻到地或电源,切不可悬空; 3、调试接口 4、ADC 1)、ADC是有工作电压的,且与MCU的工作电压不完全相同。MCU工作电压可以到2.0V~3.6V,但ADC模块工作的电压在2.4V~3.6V。设计电路时需要注意。 5、时钟 1)、STM32上电默认是使用内部高速RC时钟(HSI)启动运行,如果做外部时钟(HSE)切换,外部时钟是不会运行的。因此,判断最小系统是否工作用示波器检查OSC是否有时钟信号,是错误的方法; 2)、RTC时钟要求使用的32.768振荡器的寄生电容是6pF,这个电容区别于振荡器外部接的负载电容; 5、GPIO 1)、IO推动LED时,建议尽量考虑使用灌电流的方式。 2)、在Stop等低功耗模式下,为了更省电,通常情况下建议GPIO配置为带上拉的输出模式,输出电平由外部电路决定; 6、FSMC 1)、对应100pin或144pin,FSMC的功能与I2C是存在冲突的,如果FSMC时钟打开,I2C 1的硬件模式无法工作。这在STM32F10xxx的勘误表中是有描述的。 ST官方推荐的几大主流开发板的原理图,在画电路的时候可以做为参考依据: 1、IAR https://www.wendangku.net/doc/c011918493.html, 1)、STM32F103RBT6 点击此处下载ourdev_606049.pdf(文件大小:208K)(原文件名:IAR_STM32_SK_revB.pdf)

硬件电路设计流程系列--方案设计

平台的选择很多时候和系统选择的算法是相关的,所以如果要提高架构,平台的设计能力,得不断提高自身的算法设计,复杂度评估能力,带宽分析能力。 常用的主处理器芯片有:单片机,ASIC,RISC(DEC Alpha、ARC、ARM、MIPS、PowerPC、SPARC和SuperH ),DSP和FPGA等,这些处理器的比较在网上有很多的文章,在这里不老生常谈了,这里只提1个典型的主处理器选型案例。 比如市场上现在有很多高清网络摄像机(HD-IPNC)的设计需求,而IPNC的解决方案也层出不穷,TI的解决方案有DM355、DM365、DM368等,海思提供的方案则有Hi3512、Hi3515、Hi3520等,NXP提供的方案有PNX1700、PNX1005等。 对于HD-IPNC的主处理芯片,有几个主要的技术指标:视频分辨率,视频编码器算法,最高支持的图像抓拍分辨率,CMOS的图像预处理能力,以及网络协议栈的开发平台。 Hi3512单芯片实现720P30 编解码能力,满足高清IP Camera应用, Hi3515可实现1080P30的编解码能力,持续提升高清IP Camera的性能。 DM355单芯片实现720P30 MPEG4编解码能力,DM365单芯片实现720P30 编解码能力, DM368单芯片实现1080P30 编解码能力。 DM355是2007 Q3推出的,DM365是2009 Q1推出的,DM368是2010 Q2推出的。海思的同档次解决方案也基本上与之同时出现。 海思和TI的解决方案都是基于linux,对于网络协议栈的开发而言,开源社区的资源是没有区别的,区别的只在于芯片供应商提供的SDK开发包,两家公司的SDK离产品都有一定的距离,但是linux的网络开发并不是一个技术难点,所以并不影响产品的推广。 作为IPNC的解决方案,在720P时代,海思的解决方案相对于TI的解决方案,其优势是支持了编解码算法,而TI只支持了MPEG4的编解码算法。虽然在2008年初,MPEG4的劣势在市场上已经开始体现出来,但在当时这似乎并不影响DM355的推广。 对于最高支持的图像抓拍分辨率,海思的解决方案可以支持支持JPEG抓拍3M Pixels@5fps,DM355最高可以支持5M Pixels,虽然当时没有成功的开发成5M Pixel的抓拍(内存分配得有点儿问题,后来就不折腾了),但是至少4M Pixel 的抓拍是实现了的,而且有几个朋友已经实现了2560x1920这个接近5M Pixel 的抓拍,所以在这一点上DM355稍微胜出。 因为在高清分辨率下,CCD传感器非常昂贵,而CMOS传感器像原尺寸又做不大,导致本身在低照度下就性能欠佳的CMOS传感器的成像质量在高分辨率时变差,

硬件基础知识

第三章硬件基础知识学习 通过上一课的学习,我们貌似成功的点亮了一个LED小灯,但是还有一些知识大家还没有 彻底明白。单片机是根据硬件电路图的设计来写代码的,所以我们不仅仅要学习编程知识,还有硬件知识,也要进一步的学习,这节课我们就要来穿插介绍电路硬件知识。 3.1 电磁干扰EMI 第一个知识点,去耦电容的应用,那首先要介绍一下去耦电容的应用背景,这个背景就是电磁干扰,也就是传说中的EMI。 1、冬天的时候,尤其是空气比较干燥的内陆城市,很多朋友都有这样的经历,手触碰到电脑外壳、铁柜子等物品的时候会被电击,实际上这就是“静电放电”现象,也称之为ESD。 2、不知道有没有同学有这样的经历,早期我们使用电钻这种电机设备,并且同时在听收音机或者看电视的时候,收音机或者电视会出现杂音,这就是“快速瞬间群脉冲”的效果,也称之为EFT。 3、以前的老电脑,有的性能不是很好,带电热插拔优盘、移动硬盘等外围设备的时候,内部会产生一个百万分之一秒的电源切换,直接导致电脑出现蓝屏或者重启现象,就是热插拔的“浪涌”效果,称之为Surge... ... 电磁干扰的内容有很多,我们这里不能一一列举,但是有些内容非常重要,后边我们要一点点的了解。这些问题大家不要认为是小问题,比如一个简单的静电放电,我们用手能感觉到的静电,可能已经达到3KV以上,如果用眼睛能看得到的,至少是5KV了,只是因为 这个电压虽然很高,电量却很小,因此不会对人体造成伤害。但是我们应用的这些半导体元器件就不一样了,一旦瞬间电压过高,就有可能造成器件的损坏。而且,即使不损坏,在2、3里边介绍的两种现象,也严重干扰到我们正常使用电子设备了。 基于以上的这些问题,就诞生了电磁兼容(EMC)这个名词。这节课我们仅仅讲一下去耦

硬件电路设计基础知识

硬件电路设计基础知识 Document serial number【LGGKGB-LGG98YT-LGGT8CB-LGUT-

硬件电子电路基础

第一章半导体器件 §1-1 半导体基础知识一、什么是半导体

半导体就是导电能力介于导体和绝缘体之间的物质。(导电能力即电导率)(如:硅Si 锗Ge等+4价元素以及化合物) 二、半导体的导电特性 本征半导体――纯净、晶体结构完整的半导体称为本征半导体。 硅和锗的共价键结构。(略) 1、半导体的导电率会在外界因素作用下发生变化 掺杂──管子 温度──热敏元件 光照──光敏元件等 2、半导体中的两种载流子──自由电子和空穴 自由电子──受束缚的电子(-) 空穴──电子跳走以后留下的坑(+) 三、杂质半导体──N型、P型 (前讲)掺杂可以显着地改变半导体的导电特性,从而制造出杂质半导体。 N型半导体(自由电子多) 掺杂为+5价元素。如:磷;砷 P──+5价使自由电子大大增加 原理: Si──+4价 P与Si形成共价键后多余了一个电子。 载流子组成:

o本征激发的空穴和自由电子──数量少。 o掺杂后由P提供的自由电子──数量多。 o空穴──少子 o自由电子──多子 P型半导体(空穴多) 掺杂为+3价元素。如:硼;铝使空穴大大增加 原理: Si──+4价 B与Si形成共价键后多余了一个空穴。 B──+3价 载流子组成: o本征激发的空穴和自由电子──数量少。 o掺杂后由B提供的空穴──数量多。 o空穴──多子 o自由电子──少子 结论:N型半导体中的多数载流子为自由电子; P型半导体中的多数载流子为空穴。 §1-2 PN结 一、PN结的基本原理 1、什么是PN结 将一块P型半导体和一块N型半导体紧密第结合在一起时,交界面两侧的那部分区域。

硬件电路原理图设计审核思路和方法

硬件电路原理图设计审核思路和方法 1、详细理解设计需求,从需求中整理出电路功能模块和性能指标要 求; 2、根据功能和性能需求制定总体设计方案,对CPU进行选型,CPU 选型有以下几点要求: a)性价比高; b)容易开发:体现在硬件调试工具种类多,参考设计多,软件资源丰富,成功案例多; c)可扩展性好; 3、针对已经选定的CPU芯片,选择一个与我们需求比较接近的成功 参考设计,一般CPU生产商或他们的合作方都会对每款CPU芯片做若干开发板进行验证,比如440EP就有yosemite开发板和 bamboo开发板,我们参考得是yosemite开发板,厂家最后公开给用户的参考设计图虽说不是产品级的东西,也应该是经过严格验证的,否则也会影响到他们的芯片推广应用,纵然参考设计的外围电路有可推敲的地方,CPU本身的管脚连接使用方法也绝对是值得我们信赖的,当然如果万一出现多个参考设计某些管脚连接方式不同,可以细读CPU芯片手册和勘误表,或者找厂商确认;另外在设计之前,最好我们能外借或者购买一块选定的参考板进行软件验证,如果没问题那么硬件参考设计也是可以信赖的;但要注意一点,现在很多CPU 都有若干种启动模式,我们要选一种最适合的启动模式,或者做成兼容设计;

4、根据需求对外设功能模块进行元器件选型,元器件选型应该遵守 以下原则: a)普遍性原则:所选的元器件要被广泛使用验证过的尽量少使用冷偏芯片,减少风险; b)高性价比原则:在功能、性能、使用率都相近的情况下,尽量选择价格比较好的元器件,减少成本; c)采购方便原则:尽量选择容易买到,供货周期短的元器件; d)持续发展原则:尽量选择在可预见的时间内不会停产的元器件;e)可替代原则:尽量选择pin to pin兼容种类比较多的元器件;f)向上兼容原则:尽量选择以前老产品用过的元器件; g)资源节约原则:尽量用上元器件的全部功能和管脚; 5、对选定的CPU参考设计原理图外围电路进行修改,修改时对于每 个功能模块都要找至少3个相同外围芯片的成功参考设计,如果找到的参考设计连接方法都是完全一样的,那么基本可以放心参照设计,但即使只有一个参考设计与其他的不一样,也不能简单地少数服从多数,而是要细读芯片数据手册,深入理解那些管脚含义,多方讨论,联系芯片厂技术支持,最终确定科学、正确的连接方式,如果仍有疑义,可以做兼容设计;这是整个原理图设计过程中最关键的部分,我们必须做到以下几点: a)对于每个功能模块要尽量找到更多的成功参考设计,越难的应该越多,成功参考设计是“前人”的经验和财富,我们理当借鉴吸收,站在“前人”的肩膀上,也就提高了自己的起点;

模拟电路设计 基础知识(笔试时候容易遇到的题目)

模拟电路设计基础知识(笔试时候容易遇到的 题目) 1、最基本的如三极管曲线特性(太低极了点) 2、基本放大电路,种类,优缺点,特别是广泛采用差分结构的原因 3、反馈之类,如:负反馈的优点(带宽变大) 4、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法 5、锁相环电路组成,振荡器(比如用D触发器如何搭) 6、A/D电路组成,工作原理如果公司做高频电子的,可能还要RF知识,调频,鉴频鉴相之类,不一一列举太底层的MOS管物理特性感觉一般不大会作为笔试面试题,因为全是微电子物理,公式推导太罗索,除非面试出题的是个老学究 ic设计的话需要熟悉的软件adence, Synopsys, Advant,UNIX当然也要大概会操作实际工作所需要的一些技术知识(面试容易问到) 如电路的低功耗,稳定,高速如何做到,调运放,布版图注意的地方等等,一般会针对简历上你所写做过的东西具体问,肯定会问得很细(所以别把什么都写上,精通之类的词也别用太多了),这个东西各个人就不一样了,不好说什么了。 2、数字电路设计当然必问Verilog/VHDL,如设计计数器逻辑方面数字电路的卡诺图化简,时序(同步异步差异),触发器有几种(区别,优点),全加器等等比如:设计一个自动售货

机系统,卖soda水的,只能投进三种硬币,要正确的找回钱数1、画出fsm(有限状态机)2、用verilog编程,语法要符合fpga设计的要求系统方面:如果简历上还说做过cpu之类,就会问到诸如cpu如何工作,流水线之类的问题3、单片机、DSP、FPG A、嵌入式方面(从没碰过,就大概知道几个名字胡扯几句,欢迎拍砖,也欢迎牛人帮忙补充)如单片机中断几个/类型,编中断程序注意什么问题 DSP的结构(冯、诺伊曼结构吗?)嵌入式处理器类型(如ARM),操作系统种类 (Vxworks,ucos,winCE,linux),操作系统方面偏CS方向了,在CS篇里面讲了4、信号系统基础拉氏变换与Z变换公式等类似东西,随便翻翻书把如、h(n)=-a*h(n-1)+b*δ(n) a、求h(n)的z变换 b、问该系统是否为稳定系统 c、写出F IR数字滤波器的差分方程以往各种笔试题举例利用4选1实现F(x,y,z)=xz+yz 用mos管搭出一个二输入与非门。 用传输门和倒向器搭一个边沿触发器用运算放大器组成一个10倍的放大器微波电路的匹配电阻。 名词解释,无聊的外文缩写罢了,比如PCI、EC C、DDR、interrupt、pipeline IRQ,BIOS,USB,VHDL,VLSI VCO(压控振荡器) RAM (动态随机存储器),FIR IIR DFT(离散傅立叶变换) 或者是中文的,比如 a量化误差 b、直方图 c、白平衡共同的注

硬件电路设计基础知识.docx

硬件电子电路基础关于本课程 § 4—2乙类功率放大电路 § 4—3丙类功率放大电路 § 4—4丙类谐振倍频电路 第五章正弦波振荡器 § 5—1反馈型正弦波振荡器的工作原理 § 5— 2 LC正弦波振荡电路 § 5— 3 LC振荡器的频率稳定度 § 5—4石英晶体振荡器 § 5— 5 RC正弦波振荡器

第一章半导体器件 §1半导体基础知识 §1PN 结 §-1二极管 §1晶体三极管 §1场效应管 §1半导体基础知识 、什么是半导体半导体就是导电能力介于导体和绝缘体之间的物质。(导电能力即电导率)(如:硅Si锗Ge等+ 4价元素以及化合物) 、半导体的导电特性本征半导体一一纯净、晶体结构完整的半导体称为本征半导体。 硅和锗的共价键结构。(略)

1、半导体的导电率会在外界因素作用下发生变化 ?掺杂一一管子 *温度--- 热敏元件 ?光照——光敏元件等 2、半导体中的两种载流子一一自由电子和空穴 ?自由电子——受束缚的电子(一) ?空穴——电子跳走以后留下的坑(+ ) 三、杂质半导体——N型、P型 (前讲)掺杂可以显著地改变半导体的导电特性,从而制造出杂质半导体。 *N型半导体(自由电子多) 掺杂为+ 5价元素。女口:磷;砷P—+ 5价使自由电子大大增加原理:Si—+ 4价P与Si形成共价键后多余了一个电子。 载流子组成: o本征激发的空穴和自由电子——数量少。 o掺杂后由P提供的自由电子——数量多。 o 空穴——少子 o 自由电子------ 多子 ?P型半导体(空穴多) 掺杂为+ 3价元素。女口:硼;铝使空穴大大增加 原理:Si—+ 4价B与Si形成共价键后多余了一个空穴。 B——+ 3价 载流子组成: o本征激发的空穴和自由电子数量少。 o掺杂后由B提供的空穴——数量多。 o 空穴——多子 o 自由电子——少子

RS485硬件电路设计中需注意的问题

RS48硬件电路设计中需注意的问题 1 问题的提出 在工业控制及测量领域较为常用的网络之一就是物理层采用RS-485通信接口所组成的工控设备网络。这种通信接口可以十分方便地将许多设备组成一个控制网络。从目前解决单片机之间中长距离通信的诸多方案分析来看,RS-485总线通信模式由于具有结构简单、价格低廉、通信距离和数据传输速率适当等特点而被广泛应用于仪器仪表、智能化传感器集散控制、楼宇控制、监控报警等领域。但RS485总线存在自适应、自保护功能脆弱等缺点,如不注意一些细节的处理,常出现通信失败甚至系统瘫痪等故障,因此提高RS-485总线运行可靠性至关重要。 2 硬件电路设计中需注意的问题 图1 RS485通信接口原理 2.1 电路基本原理 某节点的硬件电路设计如图1所示,在该电路中,使用了一种RS-485接口芯片SN75LBC184,它采用单一电源Vcc,电压在+3~+5.5 V范围内都能正常工作。与普通的RS-485芯片相比,它不但能抗雷电的冲击而且能承受高达8 k V的静电放电冲击,片内集成4个瞬时过压保护管,可承受高达400 V的瞬态脉冲电压。因此,它能显著提高防止雷电损坏器件的可靠性。对一些环境比较恶劣的现场,可直接与传输线相接而不需要任何外加保护元件。该芯片还有一个独特的设计,当输入端开路时,其输出为高电平,这样可保证接收器输入端电缆有开路故障时,不影响系统的正常工作。另外,它的输入阻抗为RS485标准输入阻抗的2倍(≥24 kΩ),故可以在总线上连接64个收发器。芯片内部设计了限斜率驱动,使输出信号边沿不会过陡,使传输线上不会产生过多的高频分量,从而有效扼制电磁干扰。在图1中,四位一体的光电耦合器TLP521让单片机与S N75LBC184之间完全没有了电的联系,提高了工作的可靠性。基本原理为:当单片机P1.6=0时,光电耦合器的发光二极管发光,光敏三极管导通,输出高电压(+5 V),选中RS485接口芯片的DE端,允许发送。当单片机P1.6=1时,光电耦合器的发光二极管不发光,光敏三极管不导通,输出低电压(0 V),选

硬件电路设计规范

硬件电路板设计规范 制定此《规范》的目的和出发点是为了培养硬件开发人员严谨、务实的工作作风和严肃、认真的工作态度,增强硬件开发人员的责任感和使命感,提高工作效率和开发成功率,保证产品质量。 1、深入理解设计需求,从需求中整理出电路功能模块和性能指标要求; 2、根据功能和性能需求制定总体设计方案,对CPU等主芯片进行选型,CPU 选型有以下几点要求: 1)容易采购,性价比高; 2)容易开发:体现在硬件调试工具种类多,参考设计多,软件资源丰富,成功案例多; 3)可扩展性好; 3、针对已经选定的CPU芯片,选择一个与我们需求比较接近的成功参考设计。 一般CPU生产商或他们的合作方都会对每款CPU芯片做若干开发板进行验证,厂家最后公开给用户的参考设计图虽说不是产品级的东西,也应该是经过严格验证的,否则也会影响到他们的芯片推广应用,纵然参考设计的外围电路有可推敲的地方,CPU本身的管脚连接使用方法也绝对是值得我们信赖的,当然如果万一出现多个参考设计某些管脚连接方式不同,可以细读CPU芯片手册和勘误表,或者找厂商确认;另外在设计之前,最好我们能外借或者购买一块选定的参考板进

行软件验证,如果没问题那么硬件参考设计也是可以信赖的;但要注意一点,现在很多CPU都有若干种启动模式,我们要选一种最适合的启动模式,或者做成兼容设计; 4、根据需求对外设功能模块进行元器件选型,元器件选型应该遵守以下原则: 1)普遍性原则:所选的元器件要被广泛使用验证过的尽量少使用冷、偏芯片,减少风险; 2)高性价比原则:在功能、性能、使用率都相近的情况下,尽量选择价格比较好的元器件,减少成本; 3)采购方便原则:尽量选择容易买到,供货周期短的元器件; 4)持续发展原则:尽量选择在可预见的时间内不会停产的元器件; 5)可替代原则:尽量选择pin to pin兼容种类比较多的元器件; 6)向上兼容原则:尽量选择以前老产品用过的元器件; 7)资源节约原则:尽量用上元器件的全部功能和管脚; 5、对选定的CPU参考设计原理图外围电路进行修改,修改时对于每个功能模块都要找至少3个相同外围芯片的成功参考设计,如果找到的参考设计连接方法都是完全一样的,那么基本可以放心参照设计,但即使只有一个参考设计与其他的不一样,也不能简单地少数服从多数,而是要细读芯片数据手册,深入理解那些管脚含义,多方讨论,联系芯片厂技术支持,最终确定科学、正确的连接方式,如果仍有疑义,可以做兼容设计;当然,如果所采用的成功参考设计已经是

单片机硬件电路设计

单片机应用设计

概述 单片机是一种大规模的具有计算机基本功能的单片 单片机是一种大规模的具有计算机基本功能的单片集成电路。可以与少量外围电路构成一个小而完善的计算机系统。芯片内置和外围的电路能在软件的控制下准确、迅速、高效地完成程序设计者事先规定的任务。 单片机具有体积小、功耗低、控制功能强、扩 单片机具有体积小、功耗低、控制功能强、扩展灵活、使用方便等优点,广泛应用于仪器仪表、家用电器、医用设备、航空航天、通信产品、智能玩具、汽车电子、专用设备的智能化管理及过程控制等领域。 制等领域。

单片机类型 集中指令集(CISC)和精简指令集(RISC)–采用CISC结构的单片机数据线和指令线分时复 用,即所谓冯.诺伊曼结构。它的指令丰富,功 能较强,但取指令和取数据不能同时进行,速度 受限,价格亦高。 –采用RISC结构的单片机,数据线和指令线分离 ,即所谓哈佛结构。这使得取指令和取数据可同 时进行,且由于一般指令线宽于数据线,使其指 令较同类CISC单片机指令包含更多的处理信息 ,执行效率更高,速度亦更快。同时,这种单片 机指令多为单字节,程序存储器的空间利用率大 大提高,有利于实现超小型化。

常用的几个系列单片机 MCS-51及其兼容系列: –英特尔公司的MCS-51系列单片机是目前应 用最广泛的8位单片机之一,并且ATMEL、 PHILIPS、ADI、MAXIM、LG、 SIEMENS等公司都有其兼容型号的芯片。 这个系列的单片机具有运算与寻址能力强, 存储空间大,片内集成外设丰富,功耗低等 优点,其中大部分兼容芯片都含有片内 FLASH程序存储器,价格便宜。适合应用于 仪器仪表、测控系统、嵌入系统等开发。

电路设计的基本原理和方法

电路设计的基本原理和方法 本人经过整理得出如下的电路设计方法,希望对广大电子爱好者及热衷于硬件研发的朋友有所帮助。 电子电路的设计方法 设计一个电子电路系统时,首先必须明确系统的设计任务,根据任务进行方案选择,然后对方案中的各个部分进行单元的设计,参数计算和器件选择,最后将各个部分连接在一起,画出一个符合设计要求的完整的系统电路图。 一.明确系统的设计任务要求 对系统的设计任务进行具体分析,充分了解系统的性能,指标,内容及要求,以明确系统应完成的任务。 二.方案选择 这一步的工作要求是把系统要完成的任务分配给若干个单元电路,并画出一个能表示各单元功能的整机原理框图。 方案选择的重要任务是根据掌握的知识和资料,针对系统提出的任务,要求和条件,完成系统的功能设计。在这个过程中要敢于探索,勇于创新,力争做到设计方案合理,可靠,经济,功能齐全,技术先进。并且对方案要不断进行可行性和有缺点的分析,最后设计出一个完整框图。框图必须正确反映应完成的任务和各组成部分的功能,清楚表示系统的基本组成和相互关系。 三.单元电路的设计,参数计算和期间选择 根据系统的指标和功能框图,明确各部分任务,进行各单元电路的设计,参数计算和器件选择。 1.单元电路设计 单元电路是整机的一部分,只有把各单元电路设计好才能提高整机设计水平。 每个单元电路设计前都需明确各单元电路的任务,详细拟定出单元电路的性能指标,与前后级之间的关系,分析电路的组成形式。具体设计时,可以模仿传输的先进的电路,也可以进行创新或改进,但都必须保证性能要求。而且,不仅单元电路本身要设计合理,各单元电路间也要互相配合,注意各部分的输入信号,输出信号和控制信号的关系。 2.参数计算 为保证单元电路达到功能指标要求,就需要用电子技术知识对参数进行计算。例如,放大电路中各电阻值,放大倍数的计算;振荡器中电阻,电容,振荡频率等参数的计算。只有很好的理解电路的工作原理,正确利用计算公式,计算的参数才能满足设计要求。 参数计算时,同一个电路可能有几组数据,注意选择一组能完成电路设计要求的功能,在实践中能真正可行的参数。 计算电路参数时应注意下列问题: (1)元器件的工作电流,电压,频率和功耗等参数应能满足电路指标的要求; (2)元器件的极限参数必须留有足够充裕量,一般应大于额定值的1.5倍; (3)电阻和电容的参数应选计算值附近的标称值。 3.器件选择 (1)元件的选择 阻容电阻和电容种类很多,正确选择电阻和电容是很重要的。不同的电路对电阻和电容性能要求也不同,有解电路对电容的漏电要求很严,还有些电路对电阻,电容的性能和容量要求很高。例如滤波电路中常用大容量(100uF~3000uF)铝电解电容,为滤掉高频通常

硬件工程师必用20个电子线路图

这20个电子线路图,硬件工程师一定用得上! 电子技术、无线电维修及电子制造工艺技术绝不是一门容易学好、短时间内就能够掌握的学科。这门学科所涉及的方方面面很多,各方面又相互联系,作为初学者,首先要在整体上了解、初步掌握它。 无论是无线电爱好者还是维修技术人员,你能够说出电路板上那些小元件叫做什么,又有什么作用吗?如果想成为元件(芯片)级高手的话,掌握一些相关的电子知识是必不可少的。 普及与电子基础知识,拓宽思路交流,知识的积累是基础的基础,基础和基本功扎实了才能奠定攀登高峰阶梯!这就是基本功。 电子技术的历史背景: 早在两千多年前,人们就发现了电现象和磁现象。我国早在战国时期(公元前475一211年)就发明了司南。而人类对电和磁的真正认识和广泛应用、迄今还只有一百多年历史。在第一次产业革命浪潮的推动下,许多科学家对电和磁现象进行了深入细致的研究,从而取得了重大进展。人们发现带电的物体同性相斥、异性相吸,与磁学现象有类似之处。 1785年,法国物理学家库仑在总结前人对电磁现象认识的基础上,提出了后人所称的“库仑定律”,使电学与磁学现象得到了统一。 1800年,意大利物理学家伏特研制出化学电池,用人工办法获得了连续电池,为后人对电和磁关系的研究创造了首要条件。 1822年,英国的法拉第在前人所做大量工作的基础上,提出了电磁感应定律,证明了“磁”能够产生“电”,这就为发电机和电动机的原理奠定了基础。 1837年美国画家莫尔斯在前人的基础上设计出比较实用的、用电码传送信息的电报机,之后,又在华盛顿与巴尔的摩城之间建立了世界上第一条电报线路。 1876 年,美国的贝尔发明了电话,实现了人类最早的模拟通信。英国的麦克斯韦在总结前人工作基础上,提出了一套完整的“电磁理论”,表现为四个微分方程。这那就后人所称的“麦克斯韦方程组”.麦克斯韦得出结论:运动着的电荷能产生电磁辐射,形成逐渐向外传播的、看不见的电磁波。他虽然并未提出“无线电”这个名词,但他的电磁理论却已经告诉人们,“电”是能够“无线”传播的。 对模拟电路的掌握分为三个层次:

硬件电路设计流程系列

硬件电路设计流程系列--方案设计 一、硬件电路设计流程系列--硬件电路设计规范 二、硬件电路设计流程系列--方案设计(1) :主芯片选型三、 硬件电路设计流程系列--方案设计(2) :芯片选购 四、硬件电路设计流程系列--方案设计(3) :功耗分析与电源设计五、 硬件电路设计流程系列--方案设计(4):设计一个合适的系统电源 一 硬件电路设计规范 1、详细理解设计需求,从需求中整理出电路功能模块和性能指标要求; 2、根据功能和性能需求制定总体设计方案,对CPU进行选型, CPU选型有以下几点要求: a)性价比高; b)容易开发:体现在硬件调试工具种类多,参考设计多,软件资源丰富,成功案例多; c)可扩展性好; 3、针对已经选定的 CPU芯片,选择一个与我们需求比较接近的成功参考设计,一般 CPU生产商或他们的合作方都会对每款 CPU 芯片做若干开发板进行验证,比如440EP 就有yosemite 开发板和 bamboo 开发板,我们参考得是yosemite 开发板,厂家最后公开给用户的参考设计图虽说不是产品级的东西,也应该是经过严格验证的,否则也会影响到他们的芯片推广应用,纵然参考设计的外围电路有可推敲的地方,CPU 本身的管脚连接使用方法也绝对是值得我们信赖的,当然如果万一出现多个参考设计某些管脚连接方式不同,可以细读 CPU 芯片手册和勘误表,或者找厂商确认;另外在设计之前,最好我们能外借或者购买一块选定的参考板进行软件验证,如果没问题那么硬件参考设计也是可以信赖的;但要注意一点,现在很多 CPU 都有若干种启动模式,我们要选一种最适合的启动模式,或者做成兼容设计。

STM32硬件电路设计注意事项

发现最近有关STM32硬件电路设计的帖子稍有增多,也许STM32对于大家来说还算比较新的东西的缘故吧。因ST 有一份应用笔记:AN2586 “STM32F10xxx hardware development:getting started”已经有很详细的描述了,之前也就没有就STM32的硬件电路设计在论坛上罗嗦什么。这次感觉很多网友都不太爱去ST 的官方网站上更新最新的Aplication note ,其实很多设计中需要注意的事项在官方提供的应用笔记中都有提到,这里就全当做一个总结吧。也欢迎有兴趣的和我(grant_jx@https://www.wendangku.net/doc/c011918493.html, )沟通电路设计的问题,希望大家相互学习进步,如果说错的地方,也欢迎提出。 STM32的基本系统主要涉及下面几个部分: 1、电源 1)、无论是否使用模拟部分和AD 部分,MCU 外围出去VCC 和GND ,VDDA 、VSSA 、Vref(如果封装有该引脚)都必需要连接,不可悬空; 2)、对于每组对应的VDD 和GND 都应至少放置一个104的陶瓷电容用于滤波,并接该电容应放置尽量靠近MCU ; 2、复位、启动选择 1)、Boot 引脚与JTAG 无关。其仅是用于MCU 启动后,判断执行代码的起始地址; 2)、在电路设计上可能Boot 引脚不会使用,但要求一定要外部连接电阻到地或电源,切不可悬空; 3、调试接口 4、ADC 1)、ADC 是有工作电压的,且与MCU 的工作电压不完全相同。MCU 工作电压可以到2.0V ~3.6V ,但ADC 模块工作的电压在2.4V ~3.6V 。设计电路时需要注意。 5、时钟 1)、STM32上电默认是使用内部高速RC 时钟(HSI)启动运行,如果做外部时钟(HSE)切换,外部时钟是不会运行的。因此,判断最小系统是否工作用示波器检查OSC 是否有时钟信号,是错误的方法; 2)、RTC 时钟要求使用的32.768振荡器的寄生电容是6pF ,这个电容区别于振荡器外部接的负载电容; 5、GPIO 1)、IO 推动LED 时,建议尽量考虑使用灌电流的方式。 2)、在Stop 等低功耗模式下,为了更省电,通常情况下建议GPIO 配置为带上拉的输出模式,输出电平由外部电路决定; 6、FSMC 1)、对应100pin 或144pin,FSMC 的功能与I2C 是存在冲突的,如果FSMC 时钟打开,I2C 1的硬件模式无法工作。这在STM32F10xxx 的勘误表中是有描述。 Generated by Foxit PDF Creator ? Foxit Software https://www.wendangku.net/doc/c011918493.html, For evaluation only.

产品硬件开发评审流程

文件编号: 产品硬件开发评审流程编制:审核:

文档修改历史 日期版本作者修改内容评审号变更控 制号 发布日期 01.00.000

目录 1、目的 (4) 2、适用范围 (4) 3、评审需求 (4) 4、评审计划 (4) 5、评审结果判定 (4) 6、评审流程图 (4) 7、附录 (5)

1、目的:为规范产品硬件的研发评审工作制定此硬件研发评审流程。 2、适用范围:适用公司产品硬件的研发评审。 3、评审需求: 产品硬件评审可分3部分:硬件原理图评审、PCB评审、PCBA评审。在硬件开发设计过程中,各个阶段完成后需填写硬件评审申请表提交硬件评审小组,提出评审需求。 4、评审计划: 硬件评审小组根据评审需求制定评审计划书,可参考附录及结合实际情况制定具体的评审项目。 5、评审结果判定: 硬件评审小组在制定评审计划时,需根据相应的审查项目划分权重等级,并明确评定结果的判定标准。评审不通过,需返回开发设计改良或进行风险评估,之后再重新评审。 6、评审流程图:

7、附录: 单元电路评审:针对产品硬件常规设计所涉及的单元电路进行常规性评审,审查各单元电路是否符合设计标准。请参照下表,审查通过项目请打(√),审查未通过项目请打(×)。审查硬件板未涉及到的单元电路模块可不填写。 单元电路审查一览表 审查项目审查内容审查结果审查建议 滤波电路1、审查电路中是否设计电源 滤波电路。 2、审查电路中电源滤波器的 形式是否有效,是否为单电容 型或单电感型,而未采用П形 电源滤波器。 3、对单板的П形电源滤波器 参数进行审查。 ()() ()() ()() ID电路1、审查ID电路的形式是否符 合规范电路的要求。 2、审查ID电路的参数是否正 确。 3、审查ID电路是否有隔离电 阻或隔离芯片。 ()() ()() ()() 复位、WDT (看门狗)电路1、硬件设计中不推荐使用可 关闭的WDT系统,即计数器清 零电路应是单稳电路而非锁存 电路。如果设计为可关闭的 WDT,刷新时应是关闭后立即开 启,不可使watchdog处于长期 关闭的状态。 2、WDT设计中,坚决不可使用 分离元件依靠电容充电实现 WDT电路。 3、在WDT设计中,计数时钟应 尽量取用本板时钟。防止因为 其他单板更换,插拔导致时钟 不正常时,本板WDT电路工作 失常。 4、上电时WDT计数器应可清 零。 5、单板设计中有无手动复位 开关。 6、设计中是否为重要芯片设 计供软件单独调试的复位口。 7、复位电路中消抖电容的容 ()() ()() ()() ()() ()() ()() ()()

相关文档
相关文档 最新文档