文档库 最新最全的文档下载
当前位置:文档库 › dds任意波形发生器设计

dds任意波形发生器设计

dds任意波形发生器设计
dds任意波形发生器设计

目录

1.1 DDS的基本结构 (2)

1.2 DDS的工作特点 (4)

1.3 DDS的技术指标 (5)

1.4实现方案 (7)

1.5硬件结构说明 (7)

1.6设计过程 (8)

1.7频率测量 (18)

1.8误差与杂散分析 (19)

DDS(Direct Digital Synthesis)的概念首先由美国学者J.Tierncy,C.M.Radar和B.Gold在1971年提出,但限于当时的技术和工艺水平,DDS技术仅仅限于理论研究,而没有应用到实际中去。近20年来,随着VLSI(Very Large Scale Integration),FPGA(Field Programmable Gates Array)以及DSP(Digital Signal Processing)的发展,这种结构独特的频率合成技术得到了飞速发展。目前该技术已经被广泛用于接收机本振、信号发生器、通信系统、雷达系统等相关领域中。

1.1 DDS的基本结构

DDS(Direct Digital Synthesis)技术设计思想是基于数值计算信号波形的抽样值来实现频率合成的。它包括数字器件与模拟器件两部分,主要有相位累加器、ROM波形查询表、数模转换器组成。其基本框图如下。

(1)相位累加器是DDS的核心部分。

一般是由数字全加器和数字寄存器组成,实现相位累加。如

下图所示。

一般DDS的累加器都采用二进制,线性数字信号通过相位累加器实现逐级的累加。假设累加器字长为N,频率控制字为K,控制时钟频率为f c,系统在同一个时钟下工作,每个时钟周期加法器做一次累加计算。因为累加器的满偏是2N,所以累加一次,相当于做一次2N模的运算。得到的和作为相位值。

(2)波形函数存储在ROM中。

根据累加器输出的相位值,作为地址,寻找存储在ROM中的波形函数的幅度量化值,完成相位到幅值的转换,输出相对应的序列。

(3)数模转换器DAC是DDS中的重要部分。

经过查表以后得到的是离散的脉冲信号,通过数模转换器将转换成为连续平滑的信号。DDS输出的最高频率主要跟DAC的性能有关。因为一个正弦周期内采样点越少,越容易发生失真现象。为了获得较为理想的信号,一般DAC之后都会接一平滑滤波器。

下图可以直观的显示出各部件在波形输出过程中的效果。

1.2 DDS的工作特点

DDS技术同传统的频率合成技术相比,具有以下几个突出的优点

1、极高的频率分辨率

由知,分辨率由参考时钟频率与相位累加器的字长决定。理论上只要控制N的位数,就可以获得相应的频率分辨精。只要增加相位寄存器的位数即可获得高精度的频率分辨率,大多数DDS的分辨率在Hz、mHz甚至uHz。

2、极快的频率切换速度

DDS是一个开环系统,没有反馈系统,频率转换时间主要由低通滤波器的时延决定。所以,频率建立及切换快慢与频率分辨率、频谱纯度相互独立。高速的DDS频率切换时间极短,一般可达到ns量级。

3、易于实现各种数字调制

由于信号的频率、相位、幅度均可由数字信号控制,所以可以通过预置内部相位累加器的初始值控制输出信号,调幅时直接在表

输出端对幅度进行控制,调相时在相位累加器输出端直接加上调制信号,调频通过频率控制字进行。DDS很容易对AM、PSK、FSK等高精度数字调制和高精度正交调制。

4、连续的相位变化

因为DDS是个开环系统,故当一个转换频率的指令加在DDS的数据输入

端时,它会迅速合成所需的频率信号。改变相位控制字,根本上就是改变了信号

的相位增速。在输出信号上没有叠加任何电流脉冲,输出变化是一个平稳的过渡

过程,且相位连续。

5、较低的相位噪声和低漂移

DDS系统中合成信号的频率稳定度直接由参考源的频率稳定度决定,合成

信号的相位噪声与参考源的相位噪声相同。

6、集成度高

DDS中几乎所有的部件都属于数字信号处理部件,系统有易于集成,功耗

低,体积小,重量轻等工艺上的优点。

1.3 DDS的技术指标

能够输出的波形:正弦波、方波等固定波形和任意波形。

输出频率范围:信号发生器能产生的信号频率范围,如本设计中固定波形输出频

率范围为1Hz----l MHz

频率准确度:信号发生器度盘数值与实际输出信号频率间的偏差,通常用相对误

差表示:,频率准确度实际上是输出信号频率的工作误差。

频谱纯度:信号发生器都需要产生理想的波形,但是频谱有来自高次谐波、非谐波和噪声的干扰,影响了频谱的纯度,因此频谱的纯净对于信号是非常重要的。

输出电平及阻抗:输出电平时输出信号幅度的有效范围,即由产品标准规定的信号发生器的最大输出电压和最大输出功率及其衰减范围内所得到输出幅度的有效范围。输出阻抗视不同类型而异。

失真度:由于信号发生器内部放大器等器件的非线性导致输出信号的非线性失

真,以及其他谐波分量,非线性失真系数为:

调制特性:如调幅信号、调频信号与调相和脉冲调制等。

1.4实现方案

基于FPGA实现DDS功能,通过单片机实现控制。此方案的核心在于FPGA的设计实现逻辑功能,通过对存储器查表后输出信号,由相连接的数模转换器转换为要求的波形。单片机作为控制器,易于控制与调试。系统框图如下:

1.5硬件结构说明

1.6设计过程

在本系统中,DDS是任意波形实现的技术关键,其核心为相位累加器(全加器与数字寄存器)与ROM波形查询表构成。那么我们设计DDS的框图如下

建立一个模块实现从单片机接收来的频率控制字的寄存功能,作为寄存器。全加器实现20位的相位累加,通过频率控制字作为步长进行控制。由于FPGA内输出位数有限,因此建立一个模块进行高位截断,只取高十位,接收累加器输出的数据。然后再通过波形ROM完成波形的查找与输出。这部分为固定的正弦波、三角波、方波与锯齿波等常规波形的输出部分。任意波形部分,则需要一个能随时接受数据更新的RAM,其数据的写入由单片机控制,接收上位机的下传数据,其数据的读取由DDS 中的地址发生器控制,这样即可产生任意波形输出。

1.6.1 固定波形输出

在FPGA内部实现高速的多位数相位累加器,输出地址信号,控制读出波形存储器中存放的波形幅度数字信号。通过改变相位累加器的相位增量M,即地址间隔的改变,控制读出波形存储器一个周期正弦波幅值的数目,达到输出频率的控制。其输出的频率为,其中fclk为系统时钟频率,N为相位累加器的位数,M为相位增量--频率控制字,由公式可知fout与肘成正比,控制M就可以控制输出的频率。如要频率步进为l0Hz,则要求。保证在输出最高频率输出时有32个点的波表数据输出,则要求时钟为3.2MHz。将50MHz的时钟10分频,得到5MHz的信号作为累加器的计数信号。则fclk=5MHz,2N=500000,因此,N 可取20,2N=1048576。则fout=-4.77M,M=0.21fout。利用计算机输入要输出的频率,发送到单片机,单片机将对接收到的数据进行预算处理后发送给FPGA。

频率输入寄存器

频率输入电路如图所示,接收8位的频率字长,输出为20位。

下面为STC89C52RC与EPlC3T144C8的接口程序,用控制字:

加法器

加法器为20位,自动累加,它以设定的频率控制字k 作为步长

来进行加法运算,当其和满时清零,并进行重新运算。电路图如

下:

程序如下:module add( clock,

高十位寄存器

实现数据输入为20位,输出为10位,实现高位截断。程序为:

波形ROM及选择

本设计使用几个8bit的ROM,存储深度为1024点,用来存储正弦波等波形数据。每个波形数据存储在一个固定的ROM里,如下图。其中SineROM为正弦波存储模块,SquareROM为方波存储模块,Triangle-ROM为三角波存储模块,Swtooth ROM为锯齿波存储模块。它们通过一个使能模块控制,采取低电平有效的方式,选择性读取任意模块的波形。一般每个模块都为高电平状态,即为不工作状态。每次输出波形时,仅有单独一个ROM_T_作(即工作模块为低电平,其他模块均为高电平),这样不仅保证可以按照需要输出固定波形,还可以避免波形输出发生非控制性的混杂。使能模块的输入端为行列式键盘,键盘中每一键单独使用,当某一键按下,则对应某一波形输入。因为4×4的键盘输出线为8位,仅为一根,因此输入端设为一根8位输入端口。通过设定,实现单键控制某~波形输出。本设计仅设定了4个固定波形ROM输出,即正弦波、方波、三角波和锯齿波,因此使能模块的选择输出线为4条,若增加新波形模块,可以更改输出端口的数量,进行扩展。

时钟分频

时钟分频电路如下,所以模块在同步时钟下协调工作,电路图如下:

程序如下:

end

Endmodule

1.6.2任意波形输出

关于根据需要而产生的任意波形的设计如下:因为该任意波形是由上位机下传的波形数据,由单片机控制,所以必须设计一个能随时接受数据更新的RAM。数据传至任意波形的寄存器里,然后便可实现任意波形的输出。

选用的DA转换器为8位,所以RAM的字长也为8位,因此波形RAM的地址线的位数取lO位。为了实现任意波形数据的更新,波形RAM设计成为双口RAM。

1.7频率测量

通过设定预定值,用计数器测量出实际值,基于此数据计算出误差如下表(只选取10 组数据)。由可看出, 1 ~ 100 Hz 区间误差较为明显,1 kHz~ 1 MHz区间输出频率较为稳定(该表为测试正弦波数据)。

1.8误差与杂散分析

由于实际测量得到的数值与理论数值存在一定的差距,因此,在对误差的分析中,就涉及到标准和误差这两个概念。

其中有一部分原因是由于测量仪器的精确度有限、实验手段不完善、测量人员技术不够高等引起,再或者由于测量时不必避免的混入噪声,影响了信号频谱的纯净度等原因。这些误差统称为测量误差。测量误差又分为:随机误差、系统误差和粗大误差三类。所以在减弱测量误差的手段中,可以采取概率统计的方法减小随机误差,采取技术手段减弱系统误差,用统计方法剔除影响系统稳定性的异常值减少粗大误差的发生概率,可以有效的弱化测量误差带来的影响。

本系统中,因为涉及到数据从相位累加器中输出到ROM发生高位截断,波形的幅度量化与DAC的转化带来的杂散,以及工作环境与电源噪声等因素,都是影响到频谱纯净度的主要原因。下面对几个重要的杂散原因进行分析。

(1)相位截断产生杂散信号

相位累加器输出的序列位数比较长,本设计为20位(有的采取32位),如果以此作为地址查询正弦函数表的话,势必对波形ROM 的容量有了很大的要求,硬件上难以满足。因此设计中均采用了高位截断的方法,只取用累加器输出序列的高几位用于查表,低位舍去。所以必然会造成误差从而影响最终的输出信号频谱。正弦信号上引入余弦分量,从而造成时域上的叠加必然表现为频域

上的杂散。

(2)D/A转换器非线性引起的杂散分量

理想DAC对DDS的影响只表现在对信号频谱的幅度和相位产生改变,输出上体现出滚降特性,并不引入其它的频率成分,而非理想的DAC的非线性、瞬间毛刺等非理想的转换特性在DDS 的频谱上产生了最主要的影响。

(3)幅度量化产生的杂散

正弦查表内存储的波形码事一个模拟信号被均匀量化后的值。毕竟存储器的容量有限,不可能以无限二进制数来记录正弦值,所以出现了幅度量化误差。在DDS输出谱上,幅度量化误差表现为背景误差,对它的分析也称为背景杂散分析。减小DDS输出电压中的杂散及噪声的方法,除了选用性能优良、工艺精湛的D/A转换器,提高位数与幅度量化字长外,还可以通过设计良好的低通滤波器,以滤除各种杂散及带外噪声。另外可以在产生的相位字序列上加入高频抖动(Dither),因为相位截位误差成周期分布,这将导致在某些频率处产生较大的毛刺,使DDS产生的频谱无杂波动态范围减小。通过在相位上加入抖动,能够破坏相位误差中较明显的周期性分布,从而使得毛刺的幅值得到减小,增大了输出正余弦波的无杂波动态范刮28】。还可以采用相位误差反馈结构,在SCMF(相位累加器和正余弦函数生成器)前加入一个二阶FIR滤波器(Finite Impulse Response,有限冲击响应)来滤除输出频率附近的相位误差。

两款函数任意波形发生器产品简介

是德科技 30 MHz 函数/任意波形发生器 33521A 单通道函数/任意波形发生器 33522A 双通道函数/任意波形发生器 技术资料 ?????????????????? ?????????????????? ???? (alias-protected) ?????? ??

33500 系列函数/任意波形发生器 实现更出色的精度和灵活性?わょ??????????????????わ???????????????????????????? Keysight 33500 ????/??????????????????????????????????????????????????⒔????? 10 ???????????????????????????????????? 主要特性 —30 MHz ??????? ??????????? —???? 40 ps???????? 0.04%???????????—250 MSa/s ???? 16 ??? ????????????????? —????????????????????????????????? —??? 33522A ?????勚??????ㄩ? —?㈨ 1 MSa ??▌╈????㈨ 16 MSa ▌╈???▌╈???? ???? —?? LXI C ??? —????????????? TFT ?????????????????????????? —??? BenchL ink Waveform Builder Pro ????????????信号保真度 ???????????????? ??????????????? ??????????????? ??????????????? ????? 33500 ????/??? ??????????????? ??????? 40 ps ?⒔??? ???/??????? 10 ???? ??????????? 16 ??? ???? 0.04% ???????? ▕ 250 MSa/s (16 ?) ??????? ????????????▌╈?? ????????????⒋??? ???????????????? ???????????? 灵活的信号生成 33521A ? 33522A ???????? ??????????????? ? (DTMF) ????? 33522A ??? ?????????????ㄩ?? ???????勚???????? ??????????????(? ???????) ??????⒋? ???????????????? ???????????⒋??? 逐点波形 33500??????????? ???????????? (alias- protected) ?????????? ?????????????? ???33521A ? 33522A ??? ? 30 MHz ???????⒋?? ??????????????? ??????????????? ???????????????? ??????????????? ???????????????? ????????? 用户界面 ????????????? TFT ? ???????????????? ???????????????? ?????? 33500 ?????? LXI C ??????? USB 2.0 ? 10/100 Base-T ???????????㎡? ???? PC ?????????? ???????????????? ?? GPIB ????????? 可选 33503A BenchLink Waveform Builder Pro 软件 Benchlink Waveform Builder Pro ? ??????????????? ??????????????? ??? Microsoft Windows ???? ???????????????? ???????????????? ??????????????? ???????????????? ?╖????????㎡???? ??????????????? ??????????????? BenchLink Waveform Builder Pro? ???????????????? ???????????????? ?????╱????????? ㎡??????????????? ??????????????? ??? 30 ??????????? https://www.wendangku.net/doc/cb10725228.html,/? nd/33503

简易波形发生器设计

摘要:单片机主要面对的是测控对象,突出的是控制功能,所以它从功能和形态上来说都是应测控领域应用的要求而诞生的。随着单片机技术的发展,它在芯片内部集成了许多面对测控对象的接口电路,如ADC、DAC、高速I/O接口、脉冲宽度调制器(Pulse Width Modulator,PWM)、监视定时器(Watch Dog Timer,WDT)等。这些对外电路及外设接口已经突破了微型计算机传统的体系结构,所以单片机也称为微控制器(Micro Controller)。 关键词:中央处理器;随机存储器;只读存储器

引言:一般函数发生器是由硬件组成的,它的输出频率范围宽,各项指标高,性能优良,因而在对输出波形要求较高的地方被广泛应用,这种仪器的缺点是电路复杂,成本高,输出波形种类不多,不够灵活。在对波形指标要求不高,频率要求较低的场合,可以用单片机构成一个波形发生器。产生所需要的各种波形,这样的函数发生器靠软件产生各种波形,小巧灵活,便于修改,且成本低廉,容易实现。 1设计概述 1.1 课程设计的目的 通过对本课题的设计,掌握A/D,D/A转换的应用,用单片机产生各种波形的方法及改变波形频率的方法。熟悉单片机应用系统的设计以及软硬件的调试。单片机本身并没有开发能力,必须借助开发工具即硬件开发环境才能进行开发。单片机的硬件开发环境有PC机、编程器和仿真机等。 1.2 设计的内容、要求 设计一个简易波形发生器,要求该系统能通过开关或按钮有选择性的输出正弦波、三角波、方波、及阶梯波等四种波形,并且这四种波形的频率均可通过输入电位器在一定范围内调节。 对于四种波形的切换,用两个开关的四种状态来表示(或用按钮)。选用常用的A/D转换芯片0809来实现模拟量的输入。D/A转换器选用0832来输出波形。

利用Labview实现任意波形发生器的设计

沈阳理工大学课程设计专用纸No I

1 引言 波形发生器是一种常用的信号源,广泛应用于通信、雷达、测控、电子对抗以及现代化仪器仪表等领域,是一种为电子测量工作提供符合严格技术要求的电信号设备。随着现代电子技术的飞速发展,现代电子测量工作对波形发生器的性能提出了更高的要求,不仅要求能产生正弦波、方波等标准波形,还能根据需要产生任意波形,且操作方便,输出波形质量好,输出频率范围宽,输出频率稳定度、准确度及分辨率高,频率转换速度快且频率转换时输出波形相位连续等。可见,为适应现代电子技术的不断发展和市场需求,研究制作高性能的任意波形发生器十分有必要,而且意义重大。 波形发生器的核心技术是频率合成技术,主要方法有:直接模拟频率合成、锁相环频率合成(PLL),直接数字合成技术(DDS)。 传统的波形发生器一般基于模拟技术。它首先生成一定频率的正弦信号,然后再对这个正弦信号进行处理,从而输出其他波形信号。早期的信号发生器大都采用谐振法,后来出现采用锁相环等频率合成技术的波形发生器。但基于模拟技术的传统波形发生器能生成的信号类型比较有限,一般只能生成正弦波、方波、三角波等少数的规则波形信号。随着待测设备的种类越来越丰富,测试用的激励信号也越来越复杂,传统波形发生器已经不能满足这些测试需要,任意波形发生器(AWG)就是在这种情况下,为满足众多领域对于复杂的、可由用户自定义波形的测试信号的日益增长的需要而诞生的。随着微处理器性能的提高,出现了由微处理器、D/A以及相关硬件、软件构成的波形发生器。它扩展了波形发生器的功能,产生的波形也比以往复杂。实质上它采用了软件控制,利用微处理器控制D/A,就可以得到各种简单波形。但由于微处理器的速度限制,这种方式的波形发生器输出频率较低。目前的任意波形发生器普遍采用DDS(直接数字频率合成)技术。基于DDS技术的任意波形发生器(AWG)利用高速存储器作为查找表,通过高速D/A转换器对存储器的波形进行合成。它不仅可以产生正弦波、方波、三角波和锯齿波等规则波形,而且还可以通过上位机编辑,产生真正意义上的任意波形。

简易波形发生器设计报告

电子信息工程学院 硬件课程设计实验室课程设计报告题目:波形发生器设计 年级:13级 专业:电子信息工程学院学号:201321111126 学生姓名:覃凤素 指导教师:罗伟华 2015年11月1日

波形发生器设计 波形发生器亦称函数发生器,作为实验信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。 波形发生器一般是指能自动产生方波、三角波、正弦波等电压波形的电路。产生方波、三角波、正弦波的方案有多种,如先产生正弦波,再通过运算电路将正弦波转化为方波,经过积分电路将其转化为三角波,或者是先产生方波-三角波,再将三角波变为正弦波。本课程所设计电路采用第二种方法,利用集成运放构成的比较器和电容的充放电,实现集成运放的周期性翻转,从而在输出端产生一个方波。再经过积分电路产生三角波,最后通过正弦波转换电路形成正弦波。 一、设计要求: (1) 设计一套函数信号发生器,能自动产生方波、三角波、正弦波等电压波形; (2) 输出信号的频率要求可调; (3) 根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (4) 在面包板上搭出电路,最后在电路板上焊出来; (5) 测出静态工作点并记录; (6) 给出分析过程、电路图和记录的波形。 扩展部分: (1)产生一组锯齿波,频率范围为10Hz~100Hz , V V 8p -p =; (2)将方波—三角波发生器电路改成矩形波—锯齿波发生器,给出设计电路,并记录波形。 二、技术指标 (1) 频率范围:100Hz~1kHz,1kHz~10kHz ; (2) 输出电压:方波V V 24p -p ≤,三角波V V 6p -p =,正弦波V V 1p -p ≥; (3) 波形特性:方波s t μ30r < (1kHz ,最大输出时),三角波%2V <γ ,正弦波y~<2%。 三、选材: 元器件:ua741 2个,3DG130 4个,电阻,电容,二极管 仪器仪表: 直流稳压电源,电烙铁,万用表和双踪示波器 四、方案论证 方案一:用RC 桥式正弦波振荡器产生正弦波,经过滞回比较器输出方波,方波在经过积分器得到三角波。

基于51单片机的波形发生器的设计讲解

目录 1 引言 (1) 1.1 题目要求及分析 (1) 1.1.1 示意图 (1) 1.2 设计要求 (1) 2 波形发生器系统设计方案 (2) 2.1 方案的设计思路 (2) 2.2 设计框图及系统介绍 (2) 2.3 选择合适的设计方案 (2) 3 主要硬件电路及器件介绍 (4) 3.1 80C51单片机 (4) 3.2 DAC0832 (5) 3.3 数码显示管 (6) 4 系统的硬件设计 (8) 4.1 硬件原理框图 (8) 4.2 89C51系统设计 (8) 4.3 时钟电路 (9) 4.4 复位电路 (9) 4.5 键盘接口电路 (10) 4.7 数模转换器 (11) 5 系统软件设计 (12) 5.1 流程图: (12) 5.2 产生波形图 (12) 5.2.1 正弦波 (12) 5.2.2 三角波 (13) 5.2.3 方波 (14) 6 结论 (16) 主要参考文献 (17) 致谢...................................................... 错误!未定义书签。

1引言 1.1题目要求及分析 题目:基于51单片机的波形发生器设计,即由51单片机控制产生正弦波、方波、三角波等的多种波形。 1.1.1示意图 图1:系统流程示意图 1.2设计要求 (1) 系统具有产生正弦波、三角波、方波三种周期性波形的功能。 (2) 用键盘控制上述三种波形(同周期)的生成,以及由基波和它的谐波(5次以下)线性组合的波形。 (3) 系统具有存储波形功能。 (4) 系统输出波形的频率范围为1Hz~1MHz,重复频率可调,频率步进间隔≤100Hz,非正弦波的频率按照10次谐波来计算。 (5) 系统输出波形幅度范围0~5V。 (6) 系统具有显示输出波形的类型、重复频率和幅度的功能。

运放组成的波形发生器电路设计

运放组成的波形发生器电 路设计 This model paper was revised by the Standardization Office on December 10, 2020

运放组成的波形发生器电路设计、装配与调试 1. 运放组成的波形发生器的单元电路 运放的二个应用:⑴ 线性应用-RC 正弦波振荡器 ⑵ 非线性应用-滞回比较器 ⑴ RC 正弦波振荡器 RC 桥式振荡电路如图3-9所示。 图3-9 RC 桥式振荡电路 RC 桥式振荡电路由二部分组成: ① 同相放大器,如图3-9(a )所示。 ② RC 串并联网络,如图3-9(b )所示。 或图3-9(c )所示,RC 串并联网络与同相放大器反馈支路组成桥式电路。 同相放大器的输出电压uo 作为RC 串并联网络的输入电压,而将RC 串并联网络的输出电压作为放大器的输入电压,当f=f 0时, RC 串并联网络的相位移为零,放大器是同相放大器,电路的总相位移是零,满足相位平衡条件,而对于其他频率的信号,RC 串并联网络的相位移不为零,不满足相位平衡条件。由于RC 串并联网络在 f=f 0 时的传输系数F =1/3,因此要求放大器的总电压增益Au 应大于3,这对于集成运放组成的同相放大器来说是很容易满足的。由R 1、R f 、V 1、V 2及R 2构成负反馈支路,它与集成运放形成了同相输入比例运算放大器。 只要适当选择R f 与R 1的比值, 就能实现Au>3的要求。其中,V1、V2和R 2是实现自动稳幅的限幅电路。 1 1R R A f u + =RC f π210=

① 振荡原理 RC 桥式振荡电路如图3-9所示。根据自激振荡的条件,φ=φa+Φf=2πn ,其中RC 串并联网络作为反馈电路,当f=fo 时,φf=0°,所以放大器的相移应为φa=0°,即可用一个同相输入的运算放大器组成。又因为当f=fo 时,F=1/3,所以放大电路的放大倍数A ≥3。起振时A>3,起振后若只依靠晶体管的非线性来稳幅,波形顶部容易失真。为了改善输出波形,通常引入负反馈电路。其振荡频率由RC 串并联网络决定,图3-9(c )为RC 桥式振荡电路的桥式画法。RC 串并联网络及负反馈电路中的Rf+'2 R 、R1正好构成电桥四臂,这就是桥式振荡器名称的由来。在RC 串并联网络中, 取C C C R R R ====2121, 当虚部为零,即)/(11221C R C R ωω=时,3/1=F ② 稳幅原理 V 1、V 2和R 2是实现自动稳幅的限幅电路。V 1、V 2仅一只导通,导通的二极管和R 2并联等 效电阻为'2R 。根据同相放大器的放大倍数计算公式:1 ' 2 1R R R A f ++=可知输出电压幅度与 '2 R 有关。 )1()1(1 11111// 1 2 121211222211 222 2122 22 2221 11C R C R j R R C C C R j R C j R C R j R Z Z Z U U F C R j R C j R Z C j R Z o f ωωωωωωωω-+++ =++ ++= +==+= =+=?? ?

DSP任意波形信号发生器毕业设计

目录 摘 要 (2) Abstract (3) 1 绪论 (4) 1.1概述 (4) 1.2选题的目的、意义 (4) 1.3 选题的背景 (5) 1.4 本文所研究的内容 (6) 2 波形信号发生器的原理及方案选择 (7) 2.1任意波形信号发生器的原理 (7) 2.1.1 直接模拟法 (7) 2.1.2 直接数字法 (7) 2.2 任意波形发生器的设计方案 (9) 2.2.1 查表法 (9) 2.2.2计算法 (9) 2.2.3传统方法 (10) 3 基于DSP 5416的任意波形信号发生器的软件设计 (12) 3.1 TMS320C5416的开发流程 (12) 3.2软件开发环境 (13) 3.3任意波形信号发生器的软件编程 (14) 3.3.1 计算法实现波形输出 (14) 3.3.2 D/A转换 (15) 3.3.3波形控制及软件设计流程图 (16) 3.4参数的设定 (18) 4 基于DSP 5416的任意波形信号发生器的硬件设计 (20) 4.1 TMS320VC5416开发板 (20) 4.2 TMS320VC5416实验箱的连接 (23) 4.3 波形信号发生器的硬件测试过程 (23) 5 任意波形信号发生器展望 (28) 结束语 (29) 致谢 (30) 参考文献 (31)

摘 要 任意波形发生器是信号源的一种,它是具有信号源所具有的特点,更因它高的性能优势而倍受人们青睐。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在各种实验应用和试验测试处理中,它不是测量仪器,而是根据使用者的要求,作为激励源,仿真各种测试信号,提供给被测电路,以满足测量或各种实际需要。 随着无线电应用领域的扩展,针对广播、电视、雷达、通信的专用信号发生器获得了长足的发展,表现在载波调制方式的多样化,从调幅、调频、调相到脉冲调制。如果采用多台信号发生器获得测量信号显然是很不方便的。因此需要任意波形发生器(Arbitrary Waveform Generator,AWG),使其能够产生任意频率的载频信号和多种载波调制信号。 目前我国已经开始研制任意波形发生器,并取得了可喜的成果。但总的来说,我国任意波形发生器还没有形成真正的产业。并且我国目前在任意波形发生器的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫。 本文主要工作分为以下几个方面:首先,介绍研制任意波形信号发生器的目的、意义、背景,以及利用CCS仿真工具用软件实现任意波形信号发生器的的过程 ;之后,对硬件的连接及测试结果作介绍;最后,简要的对任意波形信号发生器的未来作一下展望。 关键词:DSP,任意波形信号发生器,DDS

国产函数、任意波形发生器大比拼

国产函数、任意波形发生器大比拼 典型的DDS原理框图如图所示。 其实质是数模转换,仍然要遵循奈奎斯特采样定理。即输出的频率不超过采样率的一半,事实上商用的采用DDS技术的函数/任意波形发生器由于受到低通滤波器设计以及杂散分布的影响限制,输出波形的最高频率均不超过采样率的40%。相对于直接模拟频率合成,锁相频率合成,其优点如下: ·频率分辨率高。若时钟频率不变,DDS频率分辨率仅由相位累加器位数来决定,也就是理论上的值越大,就可以得到足够高的频率分辨率。目前,大多数DDS的分辨率在1Hz数量级,许多都小于1mHz甚至更小,这是其他频率合成器很难做到的。 ·工作频带较宽。根据Nyquist定律,只要输出信号的最高频率分辨率分量小于或等于fclk/2就可以实现。而实际当中由于受到低通滤波器设计以及杂散分布的影响限制,仅能做到40% fclk左右。 ·超高速频率转换时间。DDS是一个开环系统,无任何反馈环节,这种结构使得DDS的频率转换时间极短。DDS 的频率转换时间可达到纳秒数量级,比使用其它的频率合成方法都要小几个数量级。 ·相位变化连续。改变DDS输出频率,实际上改变的是每一个时钟周期的相位增量,相位函数的曲线是连续的,只是在改变频率的瞬间其频率发生了突变,因而保持了信号相位的连续性。 ·具有任意输出波形的能力。只要ROM中所存的幅值满足并且严格遵守Nyquist定律,即可得到输出波形。例如三角波、锯齿波和矩形波。 ·具有调制能力。由于DDS是相位控制系统,这样也就有利于各种调制功能。 同时DDS合成技术也有一些固有的缺点,如下: ·杂散分量丰富。这些杂散分量主要由相位舍位、幅度量化和DAC的非理想特性所引起。因为在实际的DDS电路中,为了达到足够小的频率分辨率,通常将相位累加器的位数取大。但受体积和成本的限制,即使采用先进的存储方法,ROM的容量都远小于此,因此在对ROM寻址时,只是用相位累加器的高位去寻址,这样不可避免地引起误差,即相位舍位误差。另外,一个幅值在理论上只能用一个无限长的二进制代码才能精确表示,由于ROM的存储能力,只采用了有限比特代码来表示这一幅值,这必然会引起幅度量化误差。另外,DAC的有限分辨率以及非线性也会引起误差。所以对杂散的分析和抑制,一直是国内外研究的特点,因为它从很大程度上决定了DDS的性能。 ·频带受限。由于DDS内部DAC和ROM的工作速度限制,使得DDS输出的最高频率有限。目前市场上采用CMOS、TTL等工艺制作的DDS芯片工作频率一般在几十MHz至几百MHz左右。但随着高速GaAs器件的出现,频带限制已明显改善,芯片工作频率可达到2GHz范围左右。 以上摘自:《现代DDS的研究进展与概述》一文,https://www.wendangku.net/doc/cb10725228.html,/event/emag/20080226.htm。 将DDS应用于波形发生器,能非常方便的产生任意波形。一般除了具备常规函数发生器所具备的正弦波、方波、锯齿波、脉冲、噪声外,还有指数上升、指数下降、Sinc波、心电图波、直流,以及地震波等任意波形。能采用直接在仪器上手动编辑或windows 下软件编辑的方式产生任意波形,用于模拟电路或应用环境中可能发生的情况,此外还具备非常丰富的调制功能,甚至有些调制功能是以往只能在高端信号源上才能看到的。 下面找出主要以国产厂商为主的函数/任意波形发生器做一个对比,以此来了解国内DDS的应用水平,并给出一个大概的选购指南,以便您在需要的时候能够快捷的找到合手的信号源。Agilent在很早之前就推出了33200系列

任意波形发生器

基于CPLD和单片机的任意波形发生器设计 在电子工程设计与测试中,常常需要一些复杂的、具有特殊要求的信号,要求其波形可任意产生,频率方便可调。通常的信号产生器难以满足要求,市场上出售的任意信号产生器价格昂贵。结合实际需要,我们设计了一种任意波形发生器。电路设计中充分利用MATLAB的仿真功能,将希望得到的波形信号在MATLAB中完成信号的产生、抽样和模数转换,并将得到的数字波形数据存放在数据存储器中,通过单片机和CPLD控制,将波形数据读出,送入后向通道进行A/D转换和放大处理后得到所需的模拟信号波形。利用上述方法设计的任意波形发生器,信号产生灵活方便、功能扩展灵活、信号参数可调,实现了硬件电路的软件化设计。具有电路结构简单、实用性强、成本低廉等优点。 任意波形发生器的设计思想,是利用MATLAB的强大仿真功能,方便、快捷的生成给定频率、周期、脉宽的任意波形数据;并将数据预存在数据存储器中。在单片机控制下,利用CPLD电路产生地址读出数据,送入D/A转换电路,得到所需的任意波形信号。系统结构框图如图1;图中分频电路和地址发生器由CPLD实现。 图1 系统框图 单片机采用AT89C52芯片,通过软件编程产生所要求的控制信号。主要的控制参数包括:信号周期、脉宽;分频电路的开始信号、地址发生器的复位信号;E2PROM的选通信号;D/A转换电路的选通信号。在具体电路中,端口P1.0控制分频电路的启动、P1.1控制地址发生器的清零,P2.0控制 28C256和AD7545的选通信号。单片机工作在定时器0方式,软件设计利用C语言实现。流程图如图2所示。 图2 软件流程图 MATLAB作为一款优秀的数学工具软件,具有强大的运算功能;可以方便的产生各种信号波形,在软件中实现波形信号的产生、抽样和模数转换。设计的任意波形发生器,数据存储器选用28C256芯片,信号波形通过MATLAB仿真产生;得到的波形数据存放在数据存储器28C256中。具体设计中,我们要求产生周期为200ms,脉宽为5ms的单/调频混合信号,其中单频信号的脉宽为4ms,频率为 30KHz;调频信号的脉宽为1ms,频率为30KHz_35KHz。在MATLAB中设定抽样率为500KHz,得到了

多种波形发生器的设计与制作

课题三 多种波形发生器的设计与制作 方波、三角波、脉冲波、锯齿波等非正弦电振荡信号是仪器仪表、电子测量中最常用的波形,产生这些波形的方法较多。本课题要求设计的多种波形发生器是一种环形的波形发生器,方波、三角波、脉冲波、锯齿波互相依存。电路中应用到模拟电路中的积分电路、过零比较器、直流电平移位电路和锯齿波发生器等典型电路。通过对本课题的设计与制作,可进一步熟悉集成运算放大器的应用及电路的调试方法,提高对电子技术的开发应用能力。 1、 设计任务 设计并制作一个环形的多种波形发生器,能同时产生方波、三角波、脉冲波和锯齿波,它们的时序关系及幅值要求如图3-3-1所示。 图3-3-1 波形图 设计要求: ⑴ 四种波形的周期及时序关系满足图3-3-1的要求,周期误差不超过%1±。 ⑵ 四种波形的幅值要求如图3-3-1所示,幅值误差不超过%10±。 ⑶ 只允许采用通用器件,如集成运放,选用F741。

要求完成单元电路的选择及参数设计,系统调试方案的选取及综合调试。 2、设计方案的选择 由给定的四种波形的时序关系看:方波决定三角波,三角波决定脉冲波,脉冲波决定锯齿波,而锯齿波又决定方波。属于环形多种波形发生器,原理框图可用3-3-2表示。 图3-3-2 多种波形发生器的方框图 仔细研究时序图可以看出,方波的电平突变发生在锯齿波过零时刻,当锯齿波的正程过零时,方波由高电平跳变为低电平,故方波发生电路可由锯齿波经一个反相型过零比较器来实现。三角波可由方波通过积分电路来实现,选用一个积分电路来完成。图中的u B电平显然上移了+1V,故在积分电路之后应接一个直流电平移位电路,才能获得符合要求的u B波形。脉冲波的电平突变发生在三角波u B的过零时刻,三角波由高电平下降至零电位时,脉冲波由高电平实跳为低电平,故可用一个同相型过零比较器来实现。锯齿波波形仍是脉冲波波形对时间的积分,只不过正程和逆程积分时常数不同,可利用二极管作为开关,组成一个锯齿波发生电路。由上,可进一步将图3-3-2的方框图进一步具体化,如图3-3-3所示。 图3-3-3 多种波形发生器实际框图 器件选择,设计要求中规定只能选用通用器件,由于波形均有正、负电平,应选择由正、负电源供电的集成运放来完成,考虑到重复频率为100Hz(10ms),故选用通用型运放F741(F007)或四运放F324均可满足要求。本设计选用F741。其管脚排列及功能见附录三之三。

频率可变的任意波形发生器

深圳大学实验报告 课程名称:V erilog使用及其应用 实验名称:频率可变的任意波形发生器 学院:电子科学与技术学院 一、前言 波形发生器是一种数据信号发生器,在调试硬件时,常常需要加入一些信号,以观察电路工作是否正常,在实验与工程中都具有重要的作用。随着电子技术的发展与成熟,电子工程领域对波形发生器的要求越来越高,不仅要求波形发生器具有连续的相位变换,频率稳定等特点,还要求波形发生器可以模拟各种复杂信号,并能做到幅度、频率,相位,波形动态可调。V erilog HDL是一种硬件描述语言(HDL:Hardware Discription Language),是一种以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。 本实验正是基于V erilog HDL语言对波形发生器的功能进行描述,并进行仿真,从而了解与掌握波形发生器的内部工作原理,并进一步熟悉与掌握V erilog HDL语言,将课堂所学知识进行实践。

二、实验原理 总体设计方案及其原理说明: DDS是一种把数字信号通过数/模转换器转换成模拟信号的合成技术。它由相位累加器、相幅转换函数表、D/A转换器以及内部时序控制产生器等电路组成。 参考频率f_clk为整个合成器的工作频率,输入的频率字保存在频率寄存器中,经N位相位累加器,累加一次,相位步进增加,经过内部ROM波形表得到相应的幅度值,经过D/A转换和低通滤波器得到合成的波形。△P为频率字,即相位增量;参考频率为f_clk;相位累加 器的长度为N位,输出频率f_out为: F_out——输出信号的频率;

基于labVIEW的任意波形发生器设计余洪伟详解

沈阳航空航天大学 课程设计 (论文) 题目基于labVIEW的任意波形发生器设计 班级 34070102 学号 2013040701060 学生姓名余洪伟 指导教师于明月

沈阳航空航天大学 课程设计任务书 课程名称虚拟仪器课程设计 院(系)自动化学院专业测控技术与仪器 班级34070102 学号2013040701060 姓名余洪伟 课程设计题目基于LabVIEW的任意波形发生器设计 课程设计时间: 2016 年7 月4 日至2016 年7 月15 日课程设计的内容及要求: 1. 内容 任意波形发生器是仿真实验的最佳仪器,任意波形发生器是信号源的一种,它具有信号源所有的特点。基于此,利用LabVIEW 设计一个任意波形发生器。 2. 要求 (1)可以产生三种以上波形(如正弦、锯齿、方波、三角波等),波形的幅值及频率可以调节; (2)可以实现不同波形的转换并显示; (3)可以实现波形数据的存储及回放; (4)虚拟仪器前面板的设计美观大方、操作方便。 指导教师年月日 负责教师年月日 学生签字年月日

目录 0. 前言 (1) 1. 总体方案设计 (1) 2.程序流程图 (2) 3. 程序框图设计 (3) 3.1波形的产生及参数的设计 (3) 3.1.1 正弦波 (3) 3.1.2方波 (4) 3.1.3锯齿波 (4) 3.1.4三角波 (5) 3.1.5公式波形 (6) 3.2波行转换设计 (6) 3.3噪声波形实现 (7) 3.4波形的存储与回放 (8) 4. 前面板的设计 (9) 5.调试过程与结果显示 (10) 5.1波形的调试 (10) 5.1.1 正弦波的工作过程及波形验证 (10) 5.1.2 方波的工作过程及波形验证 (11) 5.1.3 三角波的工作过程及波形验证 (12) 5.1.4 锯齿波的工作过程及波形验证 (12) 5.1.5 公式波形的工作过程及波形验证 (13) 5.2 波形的存储与回放 (14)

陈冲EDA课程设计_任意波形信号发生器

EDA课程设计 任 意 波 形 信 号 发 生 器

姓名: 陈冲 班级: 07通信工程 指导老师:孙惠章 目录 一.简述 (3) 二.设计性能要求 (3) 三.系统框图 (3) 四.系统电路图 (3) 五.基本工作原理 (4) 六. 单元电路模块源程序及功能 (5)

七.系统仿真波形 (10) 八.引脚锁定 (11) 九.实验结果及硬件验证 (11) 十.实验心得 (13) 任意波形信号发生器的设计 一.简述 随着信息科技的发展,波形发生器在科技社会等多个领域发挥着越来越重要作 用。采用eda技术利用quartus60软件平台,基于大规模可编程逻辑器件fpga 设计的多功能波形发生器系统,大大简化其结构, 降低成本, 提高了系统的可靠性 和灵活性。设计中运用计数器,数据选择器,对所需的频率进行选择和同步。使用宏 功能模块存储波形。然后多波形进行幅度的选择。产生满足需要的不用频率和幅度的 波形。 二.设计性能要求 1.能输出正弦波,锯齿波,阶梯波,三角波,方波,矩形脉冲等八种波形。 2.具有幅度和频率的调整。 3.单元电路模块使用VHDL语言编写。

三.系统框图 图1.任意波形信号发生器系统框图四.系统电路图

图2.任意波形信号发生器系统电路图 五.基本工作原理 将要产生的波形数据存入波形存储器中, 然后在参考脉冲的作用下, 对输入的频率数据进行累加, 并将累加器输出的一部分作为读取波形存储器的地址, 将读出的波形数据经D/A 转换为相应的电压信号,D/A 转换器输出的一系列的阶梯电压信号经低通滤波器滤波后便输出了光滑的合成波形的信号。 选择八种基础波形为设计与实现的对象,而八个波形作为同一个任意波形发生器里的四个部分,是有着同一个输入与输出,因此在设计上还需要对波形进行选择与控制的部分,通过对时钟脉冲输入的选择,使得八个波形模块只有一个输入为时钟脉冲,其他三个模块则输入始终为0。在波形输出时,设计一个模块控制输出的波形是所要求输出的波形,在时钟脉冲选择与输出波形选择两模块之间。 对于频率的选择可以选择分频器,同时也可以选择计数器,本实验采用的是计数器以实现分频的效果,输出分别为二分频,四分频,八分频,十六分频用以实现不同的频率。幅度调节可以使用lpm_divide,可以实现八种不同的幅度调节。 六.单元电路模块源程序及功能 1.分频模块 以下为分频模块(CT74161)的VHDL语言编程源程序 LIBRARY IEEE;

简易波形发生器的设计

目录 第一章单片机开发板 (1) 1.1 开发板制作 (1) 1.1.1 89S52单片机简介 (1) 1.1.2 开发板介绍 (2) 1.1.3 89S52的实验程序举例 (3) 1.2开发板焊接与应用 (4) 1.2.1开发板的焊接 (4) 1.2.2开发板的应用 (5) 第二章函数信号发生器 (7) 2.1电路设计 (7) 2.1.1电路原理介绍 (7) 2.1.2 DAC0832的工作方式 (9) 2.2 波形发生器电路图与程序 (10) 2.2.1应用电路图 (10) 2.2.2实验程序 (11) 2.2.3 调试结果 (15) 第三章参观体会 (16) 第四章实习体会 (17) 参考文献 (18)

第一章单片机开发板 1.1 开发板制作 1.1.1 89S52单片机简介 图1.1 89s52 引脚图 如果按功能划分,它由8个部件组成,即微处理器(CPU)、数据存储器(RAM)、程序存储器(ROM/EP ROM)、I/O口(P0口、P1口、P2口、P3口)、串行口、定时器/计数器、中断系统及特殊功能寄存器(SF R)的集中控制方式。 各功能部件的介绍: 1)数据存储器(RAM):片内为128个字节单元,片外最多可扩展至64K字节。 2)程序存储器(ROM/EPROM):ROM为4K,片外最多可扩展至64K。 3)中断系统:具有5个中断源,2级中断优先权。 4)定时器/计数器:2个16位的定时器/计数器,具有四种工作方式。 5)串行口:1个全双工的串行口,具有四种工作方式。 6)特殊功能寄存器(SFR)共有21个,用于对片内各功能模块进行管理、监控、监视。 7)微处理器:为8位CPU,且内含一个1位CPU(位处理器),不仅可处理字节数据,还可以进行位变量的处理。 8)四个8位双向并行的I/O端口,每个端口都包括一个锁存器、一个输出驱动器和一个输入缓冲器。这四个端口的功能不完全相同。 A、P0口既可作一般I/O端口使用,又可作地址/数据总线使用; B、P1口是一个准双向并行口,作通用并行I/O口使用; C、 P2口除了可作为通用I/O使用外,还可在CPU访问外部存储器时作高八位地址线使用; D、P3口是一个多功能口除具有准双向I/O功能外,还具有第二功能。 控制引脚介绍: 1)电源:单片机使用的是5V电源,其中正极接40引脚,负极(地)接20引脚。 2)时钟引脚XTAL1、XTAL2时钟引脚外接晶体与片内反相放大器构成了振荡器,它提供单片机的时钟控制信号。时钟引脚也可外接晶体振荡器。 振蒎电路:单片机是一种时序电路,必须提供脉冲信号才能正常工作,在单片机内部已集成了振荡器,

基于LabVIEW的任意波形发生器设计

---------------------------------------------------------------范文最新推荐------------------------------------------------------ 基于LabVIEW的任意波形发生器设计 摘要任意波形发生器是现代测试领域应用最为广泛的通用仪器之一,本论文的主要工作是结合虚拟仪器技术,进行任意波形发生器的研究与设计。 论文介绍了虚拟仪器技术的基本理论,进行了任意波形发生器的软件设计,制定了系统整体方案。本利用功能强大的图形化虚拟仪器开发平台LabVIEW,主要完成对软件系统的设计,采用模块化的设计思想,每个功能的实现由一个模块完成。其中主要包括标准信号(正弦波、方波、三角波、锯齿波)、均匀白噪声、高斯白噪声以及任意波形的生成。最后对虚拟任意波形发生器进行了系统测试和性能分析,实验结果达到了预先的设计要求。9224 关键词虚拟仪器;任意波形发生器;LabVIEW 毕业设计说明书(论文)外文摘要 1 / 20

TitleDesign of Arbitrary Waveform Generator based on LabVIEW Abstract Arbitrary Waveform Generator is a modern field test one of the most widely used general-purpose equipment. The main task of this paper is a combination of virtual instrument technology,arbitrary waveform generator of the research and design. The paper introduces the basic theory of virtual instrument technology.The paper carried out arbitrary waveform generator software design.Developed a system as a whole program.This paper,a powerful graphical development platform Virtual Instrument LabVIEW,mainly to complete the design of software systems,using modular design concept,every function of transition from one module to complete.Which mainly include the generation of Standarded signals(Sine wave,Triangular wave,Square wave,Sawtooth wave),Uniform white noise,Gaussian white

任意波形信号发生器

目录 一、题目要求及分析 (1) 1.1题目要求 (1) 1.2题目分析 (1) 二、任意波形信号发生器方案设计 (3) 2.1系统设计框图与思路 (3) 2.2 系统设计原理图 (5) 2.3 相关芯片介绍 (6) 三、相关模块具体程序实现 (10) 四、仿真及实际结果与分析 (16) 4.1波形选择及仿真结果 (16) 4.2波形选择及实际结果 (18) 4.3结果分析与相关问题解决 (23) 五、总结与体会 (24) 参考文献 (25) 附录 (26)

一、题目要求及分析 1.1题目要求 任意波形信号发生器 利用FPGA器件产生控制信号及数据信号,经DAC0832和TL082转换产生以下波形: 1)正斜率斜波; 2)正弦波; 3)锯齿波; 4)任意波形。 用示波器观察输出波形。 硬件电路内容和要求:用DAC0832实现数模转换电路,用TLC082实现电流-电压转换电路,画出电路原理图。 软件设计内容和要求:VHDL编程实现任意波形的信号控制器。要求可以用开关切换不同的波形数据输出。 扩展:增加衰减控制信号,通过开关控制衰减倍数,并在数码管显示。 1.2题目分析 VHDL语言是随着集成电路系统化和高度集成化的发展而逐步发展起来的,是一种用于数字系统的设计和测试的硬件描述语言。相比传统的电路系统的设计方法,VHDL 具有多层次描述系统硬件功能的能力,支持自顶向下和基于库的设计的特点,因此设计者可以不必了解硬件结构。从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计。 在本次课程设计中,函数发生器的设计采用自顶向下的系统设计的方法,通过MAX+plusⅡ开发环境进行编辑、综合、波形仿真,并下载到CPLD器件中,采用模块化

如何使用函数信号发生器

如何使用函数信号发生器 认识函数信号发生器 信号发生器一般区分为函数信号发生器及任意波形发生器,而函数波形发生器在设计上又区分出模拟及数字合成式。众所周知,数字合成式函数信号源无论就频率、幅度乃至信号的信噪比(S/N)均优于模拟,其锁相环( PLL)的设计让输出信号不仅是频率精准,而且相位抖动(phase Jitter)及频率漂移均能达到相当稳定的状态,但毕竟是数字式信号源,数字电路与模拟电路之间的干扰,始终难以有效克服,也造成在小信号的输出上不如模拟式的函数信号发. 这是通用模拟式函数信号发生器的结构,是以三角波产生电路为基础经二极管所构成的正弦波整型电路产生正弦波,同时经由比较器的比较产生方波,换句话说,如果以恒流源对电容充电,即可产生正斜率的斜波。同理,右以恒流源将储存在电容上的电荷放电即产生负斜率的斜波,电路结构如下: 当I1 =I2时,即可产生对称的三角波,如果I1 > >I2,此时即产生负斜率的锯齿波,同理I1 < < I2即产生正斜率锯齿波。 再如图二所示,开关SW1的选择即可让充电速度呈倍数改变,也就是改变信号的频率,这也就是信号源面板上频率档的选择开关。同样的同步地改变I1及I2,也可以改变频率,这也就是信号源上调整频率的电位器,只不过需要简单地将原本是电压信号转成电流而已。 而在占空比调整上的设计有下列两种思路: 改变电平的幅度,亦即改变方波产生电路比较器的参考幅度,即可达到改变脉宽而频率不变的特性,但其最主要的缺点是占空比一般无法调到20%以下,导致在采样电路实验时,对瞬时信号所采集出来的信号有所变动,如果要将此信号用来作模数(A/D)转换,那么得到的数字信号就发生变动而无所适从。但不容否认的在使用上比较好调。 2、占空比变,频率跟着改变,其方法如下: 将方波产生电路比较器的参考幅度予以固定(正、负可利用电路予以切换),改变充放电斜率,即可达成。 这种方式的设计一般使用者的反应是“难调”,这是大缺点,但它可以产生10%以下的占空比却是在采样时的必备条件。 以上的两种占空比调整电路设计思路,各有优缺点,当然连带的也影响到是否能产生“像样的”锯齿波。 接下来PA(功率放大器)的设计。首先是利用运算放大器(OP) ,再利用推拉式(push-pull)放大器(注意交越失真Cross-distortion的预防)将信号送到衰减网路,这部分牵涉到信号源输出信号的指标,包含信噪比、方波上升时间及信号源的频率响应,好的信号源当然是正弦波信噪比高、方波上升时间快、三角波线性度要好、同时伏频特性也要好,(也即频率上升,信号不能衰减或不能减太大),这部分电路较为复杂,尤其在高频时除利用电容作频率补偿外,也牵涉到PC板的布线方式,一不小心,极易引起振荡,想设计这部分电路,除原有的模拟理论基础外尚需具备实际的经验,“Try Error”的耐心是不可缺少的。 PA信号出来后,经过π型的电阻式衰减网路,分别衰减10倍(20dB)或100倍(40dB),此时一部基本的函数波形发生器即已完成。(注意:选用π型衰减网络而不是分压电路是要让输出阻抗保持一定)。 一台功能较强的函数波形发生器,还有扫频、VCG、TTL、 TRIG、 GATE及频率计等功能,其设

相关文档
相关文档 最新文档