文档库 最新最全的文档下载
当前位置:文档库 › EDA 实验报告 02094069 霍飞宇

EDA 实验报告 02094069 霍飞宇

EDA 实验报告 02094069 霍飞宇
EDA 实验报告 02094069 霍飞宇

EDA实验报告

流水灯设计

学院:电子工程学院

班级:020941

学号:02094069

姓名:霍飞宇

EDA 实验报告

流水灯设计

一、实验目的

通过此实验进一步了解,熟悉 FPGA 开发软件的使用方法及 Verilog.HDL,的编程方法;学习简单时序电路的设计。

二、实验原理和内容

实验内容:在实验板上实现 LED1~LED8 发光二极管流水灯显示。

实验原理:在 LED1~LED8 引脚上周期性地输出流水数据,如原来输出的数据是11111100 则表示点亮 LED1,LED2, 流水一次后,输出数据应该为11111000 ,而此时则应点亮 LED1~LED3 三个 LED 发光二极管,这样就可以实现LED 流水灯,为了观察方便,流水速率最好在 2Hz 左右。在 QuickSOPC 核心板上有-48MHz 的标准时钟源,该时钟脉冲 CLOCK 与芯片的 28 脚相连,为了产生 2Hz 的时钟脉冲,在此调用了一个分频模块 int_div 模块,通过修改分频系数来改变输出频率,int-div 模块是一个占空比为 50%的任意整数分频器。

三、实验环境:Quartus Ⅱ

四、实验步骤

1,启动 QuartusⅡ建立一个空白工程,然后命名为 qc.qpf,选择cyclone系列的EPIC12Q240C8芯片。

2,新建 Verilog HDL 源程序文件qc.vhd,输入程序代码并保存,然后进行综合编译。若在编译过程中发现错误,则找出并更正错误,直至编译成功为止。

3,从设计文件创建模块,由qc.vhd生成名为qc.bsf 的模块符号文件。

4,引脚锁定。点击菜单中pin,进入进行引脚锁定。

Input 29

Output 139 141 156 159 161 163 165 167

5,将计算机与试验箱的LED0~LED7相连接,打开电源,执行下载命令,把程序下载到 FPGA 器件中,此时,即可在 SmartSOPC 实验箱上看到流水灯,观察流水灯的变化情况

并进行调试。

6,更改分频模块的分频系数,并重新编译下载,观察流水灯的变化。

五、实验程序

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity qc is

port

(

clk : in std_logic;

q : out std_logic_vector(7 downto 0)

);

end entity qc;

architecture liushuideng of qc is

signal count:std_logic_vector(2 downto 0);

begin

process(clk,count)

variable i:integer range 0 to 47999999;

begin

if clk'event and clk='1' then

i:=i+1;

if i=4 then

i:=0;

if count<7 then

count<=count+1;

else count<="000";

end if;

end if;

end if;

case count is

when "000"=>q<="11100111";

when "001"=>q<="11000011";

when "010"=>q<="10000001";

when "011"=>q<="11111111";

when "100"=>q<="10000001";

when "101"=>q<="11000011";

when "110"=>q<="11100111";

when "111"=>q<="11110111";

when others=>null;

end case;

end process;

end architecture liushuideng;

四、实验数据与结果

观察到实验箱流水灯依次闪烁。

五、实验错误及分析

(1)在保存文件名字时不能以数字开头,并文件名要和程序中的模且块名一致,不然编译的时候会报错,当程序编译时的警告超过 25 个以上后,程序不能在目标板上正常执行。

(2)分频部分设计使软件输出信号可在硬件上实现的必要环节,实验板上时钟源频率为48MHz,若不分频则LED灯闪烁太快,肉眼无法看到其变化。

(3)连接到板子上时,应将分频系数更改至接近最大,否则将无法看到预期现象,LED 灯全部不亮。

六、实验总结

通过此次试验,清楚的看到了自己在EDA方面知识的薄弱,各个知识点没有联会贯穿,EDA基础知识的掌握不牢固。我学会了怎么使用 Quartus II,并且学会了简单时序电路的

设计,对程序的查错及软硬件的互通有了实际的经验,为以后深入学习或工作奠定了基础。所以说,这次的EDA课程让我受益匪浅。

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

关于计算机实验报告的参考范文

关于计算机实验报告的参考范文 篇一 一、实验题目 文件和文件夹的管理 二、实验目的 1.熟悉Windows XP的文件系统。 2.掌握资源管理器的使用方法。 3.熟练掌握在Windows XP资源管理器下,对文件(夹)的选择、新建、移动、复制、删除、重命名的操作方法。 三、实验内容 1.启动资源管理器并利用资源管理器浏览文件。 2.在D盘创建文件夹 3.在所创建文件夹中创建Word文件。 4.对所创建文件或文件夹执行复制、移动、重命名、删除、恢复、创建快捷方式及设置共享等操作。 四、实验步骤 (一)文件与文件夹管理 1.展开与折叠文件夹。右击开始,打开资源管理器,在左窗格中点击“+”展开,点击“—”折叠 2.改变文件显示方式。打开资源管理器/查看,选择缩略、列表,排列图标等

3.建立树状目录。在D盘空白处右击,选择新建/文件夹,输入经济贸易学院,依次在新建文件夹中建立经济类1103 4..创建Word并保存。打开开始/程序/word,输入内容。选择文件/另存为,查找D盘/经济贸易学院/1103班/王帅,单击保存 5.复制、移动文件夹 6.重命名、删除、恢复。右击文件夹,选择重命名,输入新名字;选择删除,删除文件 7.创建文件的快捷方式。右击王帅文件夹,选择发送到/桌面快捷方式 8.设置共享文件。右击王帅,选择属性/共享/在网络上共享这个文件/确定 9.显示扩展名。打开资源管理器/工具/文件夹选项/查看/高级设置,撤销隐藏已知文件的扩展名 (二)控制面板的设置。 1.设置显示属性。右击打开显示属性/桌面、屏幕保护程序 2.设置鼠标。打开控制面板/鼠标/按钮(调整滑块,感受速度)、指针 3.设置键盘。打开控制面板/键盘/速度(调整滑块,感受速度)、硬件 4.设置日期和时间打开控制面板/日期和时间

EDA设计II实验报告——多功能数字钟

『EDA设计II』 课程实验报告 姓名 学号 学院 指导教师 时间 2011年 05月

多功能数字钟 摘要:本实验利用Quartus II软件设计多功能数字钟并下载到Smart SOPC实验系统,实现校分、校时、清零、保持和整点报时等多种基本功能,以及闹钟等附加功能。本实验首先通过Quartus II 软件对各模块进行原理图设计,并进行仿真调试,最后下载至实验平台验证其功能。 关键词:多功能数字钟Quartus II软件仿真封装校分校时清零保持整点报时闹钟 Abstract:The experiment is to design a multi-purpose digital clock by Quartus II and then download to the test system of Smart SOPC. It can realize many functions such as minute adjusting, hour adjusting, resetting, keeping and reporting time on integral hour. Apart from this, it can also be used as a alarm clock. First of all, we design the schematic diagram of every part. In addition, we simulate through Quartus II. At last, we download it to the tests platform and test the function. Key words:multi-purpose digital clock Quartus II simulate seal minute- adjusting hour adjusting resetting keeping reporting time on integral hour alarm clock

eda数字时钟实验报告

EDA数字时钟电工电子实习 实验报告 姓名 班级 学号20

一、实验目的: 1、掌握多位计数器相连的设计方法。 2、掌握十进制、六十进制和二十四进制计数器的设计方法。 3、巩固数码管的驱动原理及编程方法。 4、掌握CPLD技术的层次化设计方法。 二、实验要求: 基本要求:具有时、分、秒计数显示功能,以二十四小时循环计时。 扩展要求:具有整点报时功能。 三、实验原理: 计数时钟由模60秒计数器、模60分计数器、模24小时计数器、蜂鸣器(用于整点报时)、分/时设定模块、输出显示模块构成,秒计数模块的进位输出为分钟计数模块的进位输入,分钟计数模块的进位输出为小时计数模块的进位输入。 74163功能简介:

图1 图2 由图1可知,74163的脉冲上升沿的时候工作。 四、实验过程

1.模60计数器(如图3) 图3 由74163实现计数功能,第一片74163实现10进制,即做0-9的循环,9即二进制的1001,化简可得当q[0]与q[3]同时为1的时候进行清零。第二片74163实现6进制,即做0-5的循环,5即二进制的111,化简可得当q[4]与q[6]同时为1的时候进行清零,同时第一片74163的进位端作为第二片的脉冲端。这样就可实现60进制。60进制计数器用于秒计数器和分计数器,秒个位的进位端作为秒十位的脉冲端秒十位的进位端作为分个位的脉冲端,分个位的进位端作为分十位的脉冲端。 2.模24计数器(如图4) 图4 分十位的进位端作为时个位的脉冲端,时个位的进位端作为时十位的脉冲端。因为24进制的特殊性,当十位是0和1的时候,个位做十进制循环,即0-9,9的二进制为1001;当十位是2的时候,个位做0-3的循环。而十位做0-2的循环。2的二进制为0010,3的二进制为0011。所以第一片74163不仅要在q[14]与q[17]同时为1的时候清零,还要在第二片74163的q[19]、第一片的q[14]、q[15]同时为1(即23时)做清零。第二片是3进制,在q[19]=1的时候进行清零。

实验报告格式模板-供参考

实验名称:粉体真密度的测定 粉体真密度是粉体质量与其真体积之比值,其真体积不包括存在于粉体颗粒内部的封闭空洞。所以,测定粉体的真密度必须采用无孔材料。根据测定介质的不同,粉体真密度的主要测定方法可分为气体容积法和浸液法。 气体容积法是以气体取代液体测定试样所排出的体积。此法排除了浸液法对试样溶解的可能性,具有不损坏试样的优点。但测定时易受温度的影响,还需注意漏气问题。气体容积法又分为定容积法与不定容积法。 浸液法是将粉末浸入在易润湿颗粒表面的浸液中,测定其所排除液体的体积。此法必须真空脱气以完全排除气泡。真空脱气操作可采用加热(煮沸)法和减压法,或两法同时并用。浸液法主要有比重瓶法和悬吊法。其中,比重瓶法具有仪器简单、操作方便、结果可靠等优点,已成为目前应用较多的测定真密度的方法之一。因此,本实验采用比重瓶法。 一.实验目的 1. 了解粉体真密度的概念及其在科研与生产中的作用; 2. 掌握浸液法—比重瓶法测定粉末真密度的原理及方法; 3.通过实验方案设计,提高分析问题和解决问题的能力。 二.实验原理 比重瓶法测定粉体真密度基于“阿基米德原理”。将待测粉末浸入对其润湿而不溶解的浸液中,抽真空除气泡,求出粉末试样从已知容量的容器中排出已知密度的液体,就可计算所测粉末的真密度。真密度ρ计算式为: 式中:m 0—— 比重瓶的质重,g ; m s —— (比重瓶+粉体)的质重,g ; m sl —— (比重瓶+液体)的质重,g ; ρl —— 测定温度下浸液密度;g/cm 3; ρ—— 粉体的真密度,g/cm 3; 三.实验器材: l s sl l s m m m m m m ρρ) ()(00----=

EDA实验实验报告

数字eda实验实验报告 学院:计算机科学与工程学院专业:通信工程学 号: 0941903207 姓名:薛蕾指导老 师:钱强 实验一四选一数据选择器的设计 一、实验目的 1、熟悉quartus ii软件的使用。 2、了解数据选择器的工作原理。 3、熟悉eda开发 的基本流程。 二、实验原理及内容 实验原理 数据选择器在实际中得到了广泛的应用,尤其是在通信中为了利用多路信号中的一路, 可以采用数据选择器进行选择再对该路信号加以利用。从多路输入信号中选择其中一路进行 输出的电路称为数据选择器。或:在地址信号控制下,从多路输入信息中选择其中的某一路 信息作为输出的电路称为数据选择器。数据选择器又叫多路选择器,简称mux。 4选1数据 选择器: (1)原理框图:如右图。 d0 、d1、d2、d3 :输入数据 a1 、a0 :地址变量 由地址码决定从4路输入中选择哪1路输出。 (2)真值表如下图: (3)逻辑图 数据选择器的原理比较简单,首先必须设置一个选择标志信号,目的就是为了从多路信 号中选择所需要的一路信号,选择标志信号的一种状态对应着一路信号。在应用中,设置一 定的选择标志信号状态即可得到相应的某一路信号。这就是数据选择器的实现原理。 三.实验内容 1、分别采用原理图和vhdl语言的形式设计4选1数据选择器 2、对所涉及的电路进行 编译及正确的仿真。电路图: 四、实验程序 library ieee; use ieee.std_logic_1164.all; entity mux4 is port( a0, a1, a2, a3 :in std_logic; s :in std_logic_vector (1 downto 0); y :out std_logic ); end mux4; architecture archmux of mux4 is begin y <= a0 when s = 00 else --当s=00时,y=a0 a1 when s = 01 else --当s=01时,y=a1 a2 when s = 10 else --当s=10时,y=a2 a3; --当s取其它值时,y=a2 end archmux; 五、运行结果 六.实验总结 真值表分析: 当js=0时,a1,a0取00,01,10,11时,分别可取d0,d1,d2,d3. 篇二:eda实验报告模版 《eda技术》实验报告

化学实验报告格式模板.doc

化学实验报告格式模板 (以草酸中h2c2o4含量的测定为例) 实验题目:草酸中h2c2o4含量的测定 实验目的: 学习naoh标准溶液的配制、标定及有关仪器的使用; 学习碱式滴定管的使用,练习滴定操作。 实验原理: h2c2o4为有机弱酸,其ka1=5.9×10-2,ka2=6.4×10-5。常量组分分析时cka1>10-8,cka2>10-8,ka1/ka2<105,可在水溶液中一次性滴定其两步离解的h+: h2c2o4+2naoh===na2c2o4+2h2o 计量点ph值8.4左右,可用酚酞为指示剂。 naoh标准溶液采用间接配制法获得,以邻苯二甲酸氢钾标定: -cook -cooh +naoh=== -cook

-coona +h2o 此反应计量点ph值9.1左右,同样可用酚酞为指示剂。 实验方法: 一、naoh标准溶液的配制与标定 用台式天平称取naoh1g于100ml烧杯中,加50ml蒸馏水,搅拌使其溶解。移入500ml试剂瓶中,再加200ml蒸馏水,摇匀。 准确称取0.4~0.5g邻苯二甲酸氢钾三份,分别置于250ml 锥形瓶中,加20~30ml蒸馏水溶解,再加1~2滴0.2%酚酞指示剂,用naoh标准溶液滴定至溶液呈微红色,半分钟不褪色即为终点。 二、h2c2o4含量测定 准确称取0.5g左右草酸试样,置于小烧杯中,加20ml蒸馏水溶解,然后定量地转入100ml容量瓶中,用蒸馏水稀释至刻度,摇匀。 用20ml移液管移取试样溶液于锥形瓶中,加酚酞指示剂1~2滴,用naoh标准溶液滴定至溶液呈微红色,半分钟不褪色即为终点。平行做三次。 实验数据记录与处理: 一、naoh标准溶液的标定

EDA数字钟实验报告

目录 1.设计思路—————————————————————(3) 1.1总体结构——————————————————(3) 2.方案论证与选择——————————————————(3) 3.单元模块设计部分—————————————————(3)3.1 CNT10 模块的设计———————————————(4)3.2 CNT6 模块的设计———————————————(5)3.3 CNT101模块的设计———————————————(6)3.4 CNT61模块的设计———————————————(7) 3.5 CNT23模块的设计———————————————(8) 4.系统仿真—————————————————————(9) 4.1数字钟的引脚锁定———————————————(9) 4.2数字钟原理图————————————————(12) 4.3数字钟仿真图————————————————(10) 4.4数字钟编译报告———————————————(11) 5.参考文献————————————————————(13)

EDA数字钟设计 中文摘要: 数字钟学习的目的是掌握各类计数器及它们相连的设计方法;掌握多个数码管显示的原理与方法;掌握FPGA技术的层次化设计方法;掌握用VHDL语言的设计思想以及整个数字系统的设计。此数字钟具有时,分,秒计数显示功能,以24小时为计数循环;能实现清零,调节小时,分钟以及整点报时的功能。 关键词:数字钟,计数器,,FPGA,VHDL 1.设计思路 基于VHDL语言,用Top—To--Down的思想进行设计。 1.1 确定总体结构,如图1-1所示。 图1-1 2. 方案论证与选择 方案:设置小时和分,输出整点报时信号和时,分,秒信号。方案采用自顶向下的设计方法,它由秒计数模块,分计数模块,小时计数模块和顶层模块四部分组成。 3. 单元模块设计部分 RES是整个系统的复位键,低电平有效,复位时,各个输出都为零,时间显示0时0分0秒;clk是输入时钟,提供秒信号,上升沿触发,每出发一次,时间增加一秒;HRTMP,MIN10TMP,MINTMPKEYI可以分别设置小时位,10分位,分位,起到调时的作用,高电平有效,有效时,每来一个CLK时钟(1s),所对应的位都将以各自的计数循环; RING是整点报时。

实验报告格式模板

实验报告格式模板 实验报告的书写是一项重要的基本技能训练。它不仅是对每次实验的总结,更重要的是它可以初步地培养和训练学生的逻辑归纳能力、综合分析能力和文字 表达能力,是科学论文写作的基础。因此,参加实验的每位学生,均应及时认真地书写实验报告。要求内容实事求是,分析全面具体,文字简练通顺,誊写清楚整洁。 实验报告内容与格式 (一)实验名称 要用最简练的语言反映实验的内容。如验证某程序、定律、算法,可写成“验证XXX” ;分析XXX。 (二)所属课程名称 (三)学生姓名、学号、及合作者 (四)实验日期和地点(年、月、日) (五)实验目的 目的要明确,在理论上验证定理、公式、算法,并使实验者获得深刻和系统的理解,在实践上,掌握使用实验设备的技能技巧和程序的调试方法。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 (六)实验内容 这是实验报告极其重要的内容。要抓住重点,可以从理论和实践两个方面考虑。这部分要写明依据何种原理、定律算法、或操作方法进行实验。详细理论计算过程? (七)实验环境 实验用的软硬件环境(配置)。 (八)实验步骤 只写主要操作步骤,不要照抄实习指导,要简明扼要。还应该画出实验流程图(实验装置的结构示意图),再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。

(九)实验结果 实验现象的描述,实验数据的处理等。原始资料应附在本次实验主要操作者的实验报告上,同组的合作者要复制原始资料。 对于实验结果的表述,一般有三种方法: 1.文字叙述:根据实验目的将原始资料系统化、条理化,用准确的专业术语客观地描述实验现象和结果,要有时间顺序以及各项指标在时间上的关系。 2.图表:用表格或坐标图的方式使实验结果突出、清晰,便于相互比较, 尤其适合于分组较多,且各组观察指标一致的实验,使组间异同一目了然。每一图表应有表目和计量单位,应说明一定的中心问题。 3.曲线图应用记录仪器描记出的曲线图,这些指标的变化趋势形象生动、直观明了。 在实验报告中,可任选其中一种或几种方法并用,以获得最佳效果。 (十)讨论 根据相关的理论知识对所得到的实验结果进行解释和分析。如果所得到的实验结果和预期的结果一致,那么它可以验证什么理论?实验结果有什么意义?说明了什么问题?这些是实验报告应该讨论的。但是,不能用已知的理论或生活经验硬套在实验结果上;更不能由于所得到的实验结果与预期的结果或理论不符而随意取舍甚至修改实验结果,这时应该分析其异常的可能原因。如果本次实验失败了,应找出失败的原因及以后实验应注意的事项。不要简单地复述课本上的 理论而缺乏自己主动思考的内容。 另外,也可以写一些本次实验的心得以及提出一些问题或建议等。 (十-)结论 结论不是具体实验结果的再次罗列,也不是对今后研究的展望,而是针对这一实验所能验证的概念、原则或理论的简明总结,是从实验结果中归纳出的一般性、概括性的判断,要简练、准确、严谨、客观。 (十二)鸣谢(可略) 在实验中受到他人的帮助,在报告中以简单语言感谢. (十三)参考资料 详细列举在实验中所用到的参考资料. 格式: 作者年代书名及页数出版社

数字钟实验报告

EDA技术课程设计 ——多功能数字钟 学院:城市学院 专业、班级:电子C154 姓名:高阳夏岩 学号:158102 58128 指导老师:安亚军 2017年12月

一实验目的 1、具有时、分、秒记数显示功能,以24小时循环计时。 2、要求数字钟具有清零、调节小时、分钟功能。 3、具有整点报时,整点报时的同时LED灯花样显示 二实验原理 1时钟计数:完成时、分、秒的正确计时并且显示所计的数字;对秒、分——60进制计数,即从0到59循环计数,时钟——24进制计数,即从0到23循环计数,并且在数码管上显示数值。 2时间设置:手动调节分钟、小时,可以对所设计的时钟任意调时间,这样使数字钟真正具有使用功能。我们可以通过实验板上的键7和键4进行任意的调整,因为我们用的时钟信号均是1HZ的,所以每LED灯变化一次就来一个脉冲,即计数一次。 3清零功能:reset为复位键,低电平时实现清零功能,高电平时正常计数。可以根据我们自己任意时间的复位。 4蜂鸣器在整点时有报时信号产生,蜂鸣器报警。产生“滴答.滴答”的报警声音。 5LED灯在时钟显示时有花样显示信号产生。即根据进位情况,LED不停的闪烁,从而产生“花样”信号 三实验内容 1时钟记数部分 1)小时部分 其VHDL描述如下 编译,无误。 经仿真,其波形如下

2)分钟部分 其VHDL描述如下 编译,无误。 经仿真,其波形如下 3)秒部分 其VHDL描述如下

编译,无误。 经仿真,其波形如下 2整点报时部分,其VHDL描述如下 编译,无误。

经仿真,其波形如下 3驱动8位八段共阴扫描数码管的片选驱动信号输出部分 其VHDL描述如下 编译,无误。 经仿真,其波形如下 4驱动八段字形译码输出部分 该模块功能:信号输入后,模块驱动八段字形译码输出,A,B,C,D,E,F,G分别接八段共阴级数码管7个接口,即有字形输出。

实验报告格式参考模板

实验报告格式参考模板 实验名称:粉体真密度的测定 粉体真密度是粉体质量与其真体积之比值,其真体积不包括存在于粉体颗粒内部的封闭空洞。所以,测定粉体的真密度必须采用无孔材料。根据测定介质的不同,粉体真密度的主要测定方法可分为气体容积法和浸液法。 气体容积法是以气体取代液体测定试样所排出的体积。此法排除了浸液法对试样溶解的可能性,具有不损坏试样的优点。但测定时易受温度的影响,还需注意漏气问题。气体容积法又分为定容积法与不定容积法。 浸液法是将粉末浸入在易润湿颗粒表面的浸液中,测定其所排除液体的体积。此法必须真空脱气以完全排除气泡。真空脱气操作可采用加热(煮沸)法和减压法,或两法同时并用。浸液法主要有比重瓶法和悬吊法。其中,比重瓶法具有仪器简单、操作方便、结果可靠等优点,已成为目前应用较多的测定真密度的方法之一。因此,本实验采用比重瓶法。 一.实验目的 1. 了解粉体真密度的概念及其在科研与生产中的作用; 2. 掌握浸液法—比重瓶法测定粉末真密度的原理及方法; 3.通过实验方案设计,提高分析问题和解决问题的能力。 二.实验原理

比重瓶法测定粉体真密度基于“阿基米德原理”。将待测粉末浸入对其润湿而不溶解的浸液中,抽真空除气泡,求出粉末试样从已知容量的容器中排出已知密度的液体,就可计算所测粉末的真密度。真密度ρ计算式为: 式中:m0——比重瓶的质重,g; ms—— (比重瓶+粉体)的质重,g; msl—— (比重瓶+液体)的质重,g;ρl——测定温度下浸液密度;g/cm3;ρ——粉体的真密度,g/cm3; 三.实验器材: 实验仪器:真空干燥器,比重瓶;分析天平;烧杯。实验原料:金刚砂。 四.实验过程 1. 将比重瓶洗净编号,放入烘箱中于110℃下烘干冷却备用。 2. 用电子天平称量每个比重瓶的质量m0。 3. 每次测定所需试样的题记约占比重瓶容量的1/3,所以应预先用四分法缩分待测试样。 4. 取300ml的浸液倒入烧杯中,再将烧杯放进真空干燥器内预先脱气。浸液的密度可以查表得知。 5. 在已干燥的比重瓶里的物体受到向上的浮力作用,浮力的大小等于被该物体排开的液体的重力。 七.实验心得 以往的实验都是比着实验书本操作,而本次实验实验方案则是自己自主完成的,毫无经 12(4

数字时钟设计实验报

电子课程设计题目:数字时钟

数字时钟设计实验报告 一、设计要求: 设计一个24小时制的数字时钟。 要求:计时、显示精度到秒;有校时功能。采用中小规模集成电路设计。 发挥:增加闹钟功能。 二、设计方案: 由秒时钟信号发生器、计时电路和校时电路构成电路。 秒时钟信号发生器可由振荡器和分频器构成。 计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 三、电路框图: 图一 数字时钟电路框图 四、电路原理图: (一)秒脉冲信号发生器 秒脉冲信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了数字钟的质量。由振荡器与分频器组合产生秒脉冲信号。 ? 振荡器: 通常用555定时器与RC 构成的多谐振荡器,经过调整输出1000Hz 脉冲。 ? 分频器: 分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供功能 扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz 标准秒脉冲。其电路图如下: 译码器 译码器 译码器 时计数器 (24进制) 分计数器 (60进制) 秒计数器 (60进制) 校 时 电 路 秒信号发生器

图二秒脉冲信号发生器 (二)秒、分、时计时器电路设计 秒、分计数器为60进制计数器,小时计数器为24进制计数器。 ?60进制——秒计数器 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给分的个位。其电路图如下: 图三60进制--秒计数电路

简单数字电子钟的设计实验报告

《EDA技术》课程实验报告 学生姓名: 所在班级: 指导教师: 记分及评价: 一、实验名称 实验1-3:简单数字电子钟的设计(原理图输入设计方法) 二、任务及要求 【基本部分】 1、在QuartusII平台上,采用原理图输入设计方法,调用两片74160十进制计数器,采 用反馈置数法,完成一个24进制同步计数器的设计,并进行时序仿真。要求具备 使能功能和异步清零功能,设计完成后封装成一个元件。 2、同1,采用原理图输入设计方法,调用两片74160十进制计数器,采用反馈置数法, 完成一个60进制同步计数器的设计,并进行时序仿真。要求具备使能功能和异步 清零功能,设计完成后封装成一个元件。 3、利用1和2所设计的60进制计数器和24进制计数器元件,采用同步的方式设计一 个简单的数字电子钟并进行时序仿真,要求具有时分秒功能显示功能、使能功能和 异步清零功能。 4、由于实验箱数码管采用的动态扫描方式,本实验暂时只要求仿真,硬件验证到实验 7再完成。 【发挥部分】 1、思考:采用反馈清零法设计的计数器与反馈置数法有何不同请用实例进行仿真。 2、如何实现电子钟时分秒连续可调的功能 三、原理图 二十四进制原理图

六十进制原理图 数字电子钟原理图

四、仿真及结果分析 24进制时序仿真图 24进制计数器采用的是两片74160集成块,利用同步置数原理,在第23个脉冲的时候跳转为零。这时个位计数器g3到g0的数值时0011,十位计数器的s3到s0的数值时0010。另外,使能断也应接入到与非门的中,与非门的作用是防止受干扰发生误写。 60进制时序仿真图 60进制计数器采用的是两片74160集成块,利用同步置数原理,在第59个脉冲的时候跳转为零。这时个位计数器g3到g0的数值时1001,十位计数器的s3到s0的数值时0101。另外,使能断也应接入到与非门的中,与非门的作用是防止受干扰发生误写。 电子时钟时序仿真图 电子时钟计数器采用的是两片60进制的计数器与一片24进制的计数器组成的,连接到一起就可以组成电子时钟计数器,要注意的是如果前面的24进制计数器与60进制计数器的使能短没接入与非门的话,可能会时钟脉冲的波形不是严格的按要求翻转。 五、小结 这次实验课,让我们更加了解了集成块74160的结构,学会使用集成块组成任意进制计数器。使用74160构成计数器时,应该注意使能端的使用、时钟脉冲信号多少,那些会影响仿真波形,但是,如果时钟脉冲多了的话,仿真波形就会在不该跳转的时候跳转。 实验中出现了很多问题,从发现错误到解决问题中自己学到了许多,明白了学习要善于思考,这样才能把自己的才能激发出来。这次的实验让我对学习EDA更加感兴趣,也增加我对这本课程的了解。

EDA数字钟的设计实验报告

五邑大学实验报告 实验课程名称: EDA实验 院系名称:信息工程学院 专业名称:通信工程(物联网) (一)实验目的: 设计并实现具有一定功能的数字钟。掌握各类计数器及它们相连的设计方法,掌握多个数码管显示的原理与方法,掌握FPGA的层次化设计方法,掌握VHDL语言的设计思想以及整个数字系统的设计。此数字钟具有时,分,秒计数显示功能,能实现清零,调节小时,分钟以及整点报时的功能。 (二)实验器材: 计算机一台,EDA实验箱一台。 (三)实验原理:

实验内容: 1.正常的时、分、秒计时功能,分别由6个数码管显示24小时、60分钟,60秒钟的计数器显示。 2.按键实现“校时”“校分”功能; 3.用扬声器做整点报时。当计时到达59’50”时鸣叫。 方案:利用试验箱上的七段码译码器(模式7),采用静态显示,系统时钟选择1Hz。整个系统可以是若干文件组成,用PORT MAP 实现的方式;也可以是一个文件用多进程方式实现;亦或者是用文本和图形混合的方式实现;亦或者是用LPM参数化模块实现。 (五)实验步骤: 1. 新建一个文件夹,命名为shuzizhong. 2. 输入源程序。打开QuartusⅡ,选择File→new命令。在New窗口中的DesignFiles 栏选择编译文件-的语言类型,这里选择VHDL File选项。然后在VHDL文本编译窗口中输入秒模块程序。

秒模块源程序如下: library ieee; use SECOND is port(clk,clr:in std_logic;----时钟/清零信号 sec1,sec0:out std_logic_vector(3 downto 0);----秒高位/低位 co:out std_logic);-------输出/进位信号 end SECOND; architecture SEC of SECOND is begin process(clk,clr) variable cnt1,cnt0:std_logic_vector(3 downto 0);---计数 begin if clr='1' then----当ckr为1时,高低位均为0 cnt1:="0000"; cnt0:="0000"; elsif clk'event and clk='1' then if cnt1="0101" and cnt0="1000" then----当记数为58(实际是经过59个记时脉冲)co<='1';----进位 cnt0:="1001";----低位为9 elsif cnt0<"1001" then----小于9时 cnt0:=cnt0+1;----计数 else cnt0:="0000"; if cnt1<"0101" then----高位小于5时 cnt1:=cnt1+1; else cnt1:="0000"; co<='0'; end if; end if; end if; sec1<=cnt1; sec0<=cnt0; end process; end SEC; 3.文件存盘。选择File→Save As命令,找到已经设立的文件夹,存盘文件名应与实体名一致。 4.创建工程。打开并建立新工程管理窗口,选择File→New Project Wizard命令,即弹出设置窗口,命名为57。

标准实验报告模板

实验报告 实验名称 _______________________ 课程名称—电子技术基础实验 院系部: 学生姓名: 同组人: 指导教师: 实验日期: 华北电力大学 专业班级: 学 号: 实验台号: 成 绩

实验报告要求: 一、实验目的及要求 二、仪器用具 三、实验原理 四、实验步骤(包括原理图、实验结果与数据处理) 五、讨论与结论(对实验现象、实验故障及处理方法、实验中 存在的问题等进行分析和讨论,对实验的进一步想法或改进意见。)六、实验原始数据

、实验目的及要求: 1. 学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。 2. 掌握放大器电压放大倍数和最大不失真输出电压的测试方法。 3. 悉常用电子仪器及模拟电路实验设备的使用。 、仪器用具:略 三、实验原理 图1.2.1为电阻分压式工作点稳定单管放大器实验电路图。 在图1.2.1电路中,当流过偏置电阻R B1和R B2的电流远大于晶体管VT的基极电流I B时(一般5?10倍),则它的静态工作点可用下式估算: 输入电阻:R = F B1 // R B2 //[r b e +(1+ 3 )R F1] 输出电阻:皆F C 四、实验方法与步骤: 1. 调试静态工作点 接通+ 12V电源、调节R W使H= 2.0V,测量U B、H、U C、氐值。记入表1.2.1 表1.2.1 U E= 2.0V 测量值计算值 U B (V)U E (V)UC (V)R B2 (K Q)U B E ( V)U C E (V) 1 c (mA 2.665 2.07.8530.865 5.2 2.0 根据表格测量数据,计算得到: U B E = U B- U E =0.665V , U C E= U C-U E =5.8V,I C~ I E=U E/R E=2心.1)=1.82mA 实验数据显示,Q点的值满足放大电路的静态工作点要求,BJT处于放大区。 2. 测量不同负载下的电压放大倍数 U B R B1 U cc U B岀£ R E R F1 CE = U C—I c( R:+ R FI + R E) 电压放大倍数: R c // R L r be (1 ) R F1 其中r be= 200+26 (1+ 3 )/1 E 图1.2.1 共射极单管放大器实验电路 R B1

实验报告范文模板3篇

实验报告范文模板3篇 Experimental report template 编订:JinTai College

实验报告范文模板3篇 小泰温馨提示:实验报告是把实验的目的、方法、过程、结果等记录下来,经过整理,写成的书面汇报。本文档根据实验报告内容要求展开说明,具有实践指导意义,便于学习和使用,本文下载后内容可随意修改调整及打印。 本文简要目录如下:【下载该文档后使用Word打开,按住键盘Ctrl键且鼠标单击目录内容即可跳转到对应篇章】 1、篇章1:实验报告范文模板 2、篇章2:实验报告范文模板 3、篇章3:实验报告范文模板 篇章1:实验报告范文模板 例一定量分析实验报告格式 (以草酸中h2c2o4含量的测定为例) 实验题目:草酸中h2c2o4含量的测定 学习naoh标准溶液的配制、标定及有关仪器的使用; 学习碱式滴定管的使用,练习滴定操作。

h2c2o4为有机弱酸,其ka1=5.9×10-2,ka2=6.4×10-5。常量组分分析时cka1>10-8,cka2>10-8,ka1/ka2<105, 可在水溶液中一次性滴定其两步离解的h+: h2c2o4+2naoh===na2c2o4+2h2o 计量点ph值8.4左右,可用酚酞为指示剂。 naoh标准溶液采用间接配制法获得,以邻苯二甲酸氢钾 标定: 此反应计量点ph值9.1左右,同样可用酚酞为指示剂。 一、naoh标准溶液的配制与标定 用台式天平称取naoh1g于100ml烧杯中,加50ml蒸馏水,搅拌使其溶解。移入500ml试剂瓶中,再加200ml蒸馏水,摇匀。 准确称取0.4~0.5g邻苯二甲酸氢钾三份,分别置于 250ml锥形瓶中,加20~30ml蒸馏水溶解,再加1~2滴0.2%酚酞指示剂,用naoh标准溶液滴定至溶液呈微红色,半分钟不 褪色即为终点。 二、h2c2o4含量测定

EDA课程设计 数字时钟

第一章摘要 在当今社会,数字电路产品的应用在我们的实际生活中显得越来越重要,与我们的生活联系愈加紧密,例如计算机、仪表、电子钟等等,使我们的生活工作较以前的方式更加方便、完善,带来了很多的益处。 在此次EDA课程,我的设计课题是闹钟,使用MAX+plusⅡ系统进行电路设计及通过下载于硬件连接完成闹钟的显示。报告书主要由设计方案、模块介绍、仿真波形图和管脚锁定及硬件连线四部分组成。设计方案主要介绍了我对于设计课题的大致设计思路,之后各个部分将会详细介绍设计组成及程序。 第二章设计说明 一、设计要求 1、设计简易的一分钟闹钟; 2、可手动输入定时时间(0~59s),如30s; 3、两个静态数码管上跟踪显示时间的变化:如30,29,28……到了指定时间蜂鸣器发出5s的提示音; 4、采用2个静态数码管显示时间; 5、用蜂鸣器发出提示音; 6、8位数字开关设置定时时间。 二、设计思路 根据上述的设计要求,整个系统大致包括如下几个组成部分:它包括以下几个组成部分:1)显示屏,由2个静态数码管组成,用于显示当前设置的闹钟时间并进行跟踪显示; 2) 8个数字开关,用于输入闹钟时间; 3) 复位键,确定新的闹钟时间设置,或显示已设置的闹钟时间;

4) 蜂鸣器,在当数码管由设置时间结束到零时,发出5s蜂鸣声; 5)倒计时,由2片74168构成减法计数器。 三、模块介绍 1.74168功能介绍 74168是十进制加减计数器,U/ND为加/减计数控制端,其为高电平时74168工作在加法计数器状态,当为低电平时74168工作在减法计数状态;ENPN、ENTN为计数控制端(低电平有效);LDN为同步并行置入控制端,当LDN为低电平时,在CLK上升沿作用下,输出端与数据输入端一致;TCN进位输出端(低电平有效)。 2.倒计时模块 倒计时部分由2片74168组成,分别为倒计时的低位与高位。通过将低位的借位输出端与高位的使能端相连,当低位输出借位信号(即低电平)时,高位74168芯片开始工作,实现减法计数器功能。倒计时的输出由静态数码管跟踪显示。同时将低位与高位74168的输出通过3个或非门的连接(见倒计时原理图),将输出信号经过处理后送入低位74168芯片的使能端。当输出减为00时,输出信号经过处理后送入低位74168芯片的使能端使芯片封锁,使数码管保持00状态。 3.蜂鸣器模块 此模块由74160、D触发器及蜂鸣器组成。其中将74160接成同步五进制计数器,用于设定蜂鸣器的鸣响时间。而倒计时部分的74168高位芯片的借位信号当做是D触发器的触发信号,然后将它的输出信号与经过处理之后当做蜂鸣器的控制信号。D触发器触发时,74160开始计数,同时蜂鸣器开始鸣响。当74160计数到5时74160被置0,同时输出的低电平与D触发器的输出信号通过与门进行与运算后向蜂鸣器输入低电平,使蜂鸣器停止鸣响以达到对蜂鸣器鸣响时间的控制。

中国地质大学EDA实验报告

EDA实验报告 姓名:肖敬轩 学号:20101000639 班级: 075102 老师:王巍 院系:机电学院

实验一、3/8 译码器的实现 一.实验目的: 1.学习QuartusⅡ的基本操作 2.熟悉教学实验箱的使用 3.设计一个3/8 译码器 4.初步掌握VHDL语言和原理图的设计输入,编译,仿真和调试过程 二.实验说明: 1、本实验要求使用VHDL语言描述3/8译码器,并在实验平台上面实现这个译码器。描述的时候要注意VHDL语言的结构和语法,并熟悉QuartusⅡ的文本编辑器的使用方法。尝试使用不同的VHDL语言描述语句实现3/8译码器,并查看其RTL结构区别,理解不同描述方法对综合结果的影响。将程序下载到实验箱上,分别用按键和LED作为输入和输出对结果进行验证,进一步熟悉所用EDA 实验箱系统。 2、所用器件: EDA实验箱、EP1K10TC100-3器件 三.实验步骤: 按照教学课件《QUARTUS II 使用方法》,学习QuartusⅡ软件的使用方法: 1、在WINDOWS 界面双击QuartusⅡ图标进入QuartusⅡ环境; 2、单击File 菜单下的New Project Wizard: Introduction 按照向导里面的介绍新建一个工程并把它保存到自己的路径下面。(注意路径当中不要有中文和空格) 3、单击File 菜单下的New,选择VHDL File(原理图方式时选择Block Diagram/Schematic File),后单击OK,就能创建一个后缀名为.vhd (*.bdf)的文本(原理图)文件。此vhd文件名必须与设计实体名相同。另外,如果已经有设计文件存在,可以按File 菜单里面的Open 来选择你的文件。 4、输入完成后检查并保存,编译。 5、改错并重新编译; 6、建立仿真波形文件并进行仿真。单击File 菜单下的New,选择Vector Waveform File,单击OK,创建一个后缀名为*.vwf的仿真波形文件,按照课件上的方法编辑输入波形,保存,进行仿真,验证仿真结果是否正确; 7、选择器件及分配引脚,重新编译; 8、根据引脚分配在试验箱上进行连线,使用LED进行显示; 9、程序下载,观察实验结果并记录; Diagram/Schematic File),后单击OK,就能创建一个后缀名为.vhd (*.bdf)的文本(原理图)文件。此vhd文件名必须与设计实体名相同。另外,如果已经有设计文件存在,可以按File 菜单里面的Open 来选择你的文件。

电气实验报告参考范文

电气实验报告参考范文 实习目的 使学生对电气元件及电工技术有一定的感性和理性认识,对电工技术等方面的专业知识做进一步的理解。同时,通过实习得实际生产知识和安装技能,掌握照明线路和常用仪表的使用与测量,培养学生理论联系实际的能力,提高分析问题和解决问题的能力,增强独立工作能力,培养学生团结合作,共同探讨,共同前进的精神。 二、时间安排 星期一上午领教材,阅实习材料学习触电急救的知识测试施行人工呼吸和胸 外心脏挤压的抢救 下午使用灭火器 星期二上午常用仪器仪表使用的讲解自己练习测试 下午测试万用表(测试电阻,直流,交流电,电池) 星期三全天 照明安装电路一灯一控制 星期四全天 电动机控制电路包括:按钮联锁的正转同反转控制线路(常弊和常开按钮)星期五上午练习双重联锁控制线路图和复习按钮联锁的正转同反转控制线路

记住如何接线路 下午测试按钮联锁的正转同反转控制线路 部分学生全面打扫实习场所卫生(可加10分呵呵)写实训报告(每人一份1000字)卑老师仲要写实训报告卑学校,保存...... 三、实习内容 1. 老师进行用电安全教育 老师讲述了电是现代化生产和生活中不可缺少的重要能源。若用电不慎,就可能 造成电源中断、设备损坏、人身伤亡,将给生产和生活造成很大的影响,因此进行安全教育具有特殊重要的意义。老师给我们讲述了有关触电的基本知识,触电急救知识和电气消防知识等等。 触电是指人体触及带电后,电流对人体造成的伤害。它分为两种类型,即电击和电伤。电击是指电流通过人体内部,破坏人体内部组织,影响呼吸系统、心脏及神经系统的正常功能,甚至危及生命。电击致伤的部位主要在人体内部,它可以使肌肉抽搐,内部组织损伤,造成发热发麻,严重时将引起昏迷、窒息,甚至心脏停止跳动而死亡。而电伤是指电流的热效应、化学效应、机械效应及电流本身作用造成的人体伤害。电伤会使人体皮肤表面留下明显的伤痕,常见的有灼伤、烙伤和皮肤金属化等现象。

相关文档