文档库 最新最全的文档下载
当前位置:文档库 › 数字逻辑B试卷(A)参考答案

数字逻辑B试卷(A)参考答案

数字逻辑B试卷(A)参考答案
数字逻辑B试卷(A)参考答案

南昌航空大学2008—2009学年第1学期期末考试

课程名称:数字逻辑B A 卷

参考答案及评分标准

一. 基本题(共55分)

1. 完成下列数制转换。(每空3分,共15分)

(1) (37)8=( )2=( )16 解: (37)8=(11111)2=(1F)16

(2) (125)10=( )2=( )8=( )16

解: (125)10=(1111101)2=(175) 8=(7D) 16

2. 用真值表证明等式: B A B A +=? (10分)

解:

3. 用布尔代数化简逻辑函数表达式: C B A C B A C B A C B A F +++=。(10分)

解: C B A C B A C B A C B A F +++=C B A C B A C C B A ++=)(

C B A C B A B A ++=C B A C A A B ++=)( C B A C A B ++=)(C B A C B A B ++=

C B C A B A ++=

4. 已知A 、B 为输入,F 为输出,波形如下图所示。根据波形图写出真值表及

函数F 的表达式。(10分)

解: (1)

(2)

4. 用卡诺图化简表达式:

∑Φ

,

,

,

(m

,7,4,3,0(

A

F。(10分)

11

B

C

D

)

,

15

=)

)

14

+

,9,8(

,

12

,

13

解:卡诺图如下:

由卡诺图可得:F CD CD

=+

二.电路分析题(共25分)

1. 组合逻辑电路分析。(10分)

分析下面组合逻辑电路,

(1)写出下图组合逻辑的函数表达式;(3分)

(2)根据表达式写出真值表;(3分)

(3)分析电路的逻辑功能。(4分)

解:(1)从输入端开始逐级写出函数表达式。(3分) AB P =1 BC P =2 AC P =3

AC BC AB AC BC AB P P P F ++===321

(2)列出真值表。(3分)

(3

分析:

A 、

B 、

C 三人对某事件进行表决,同意用“1”表示; 不同意用“0”表示。 表决结果为F

F = 1:该事件通过; F = 0:该事件未通过。

结论:多数表决逻辑。

2. 时序逻辑电路分析。(15分)

分析下面同步计数器电路,

(1)写出输出函数、激励函数和次态方程;(5分) (2)画出状态转换表和状态图;(5分) (3)分析电路的逻辑功能。(5分)

解:

(1)写出输出函数、次态函数及激励函数 ;

输出仅为状态的函数,故为Moore 型电路。

激励函数: 321Q Q J =,11=K

12Q J =,312Q Q K =

213Q Q J =,32K Q =

输出函数: 23Q Q Y =

将式激励函数代入J -K 触发器特征方程:Q K Q J Q n +=+1 则,次态函数:11231n Q Q Q Q +=?

321211

2Q Q Q Q Q Q n +=+ 323211

3

Q Q Q Q Q Q n +=+

(2) 列出状态转换表

(3) 列出状态转换图

(4) 逻辑功能分析

由状态图可见,每经过7个CP ,电路的状态循环变化一次。故改电路是一个7进制计数器,进位脉冲从Y 输出。

“111”状态不在计数状态中,称为无关状态。

一旦因某种异常原因陷入这种状态,电路能在下一CP 的作用下转入正常计数状态,即电路具有自启动功能。

三.设计题(共20分)

1. 组合逻辑电路设计。(10分)

用如下图所示的数据选择器实现逻辑函数 BC AC AB Y ++=。

解:(1) 写出逻辑函数的最小项表达式。(2分)

ABC C AB C B A BC A BC AC AB Y +++=++=

(2) 写出数据选择器的输出表达式。(1分)

7

0126012501240123012201210120012D A A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A Y +++++++='

(3) 比较 Y 和 Y ′两式中最小项的对应关系。(1分)

令 2A A = ,1A B = ,0A C = 则

7

6543

210ABCD

D C AB CD B A D C B A BCD

A D C

B A CD B A D

C B A Y +++++++=' 为使 Y = Y ′,应令

04210====D D D D , 17653====D D D D

(4) 画连线图。(6分)

2. 时序逻辑电路设计。(10分)

某计数器的波形如下图所示,要求:

(1)列出状态转移真值表,画出状态转移图。(4分)

(2)试确定该计数器的计数循环中有几个循环状态。(3分) (3)若采用D 触发器,写出相应的激励函数。(3分

)

解:

(1)从波形图可以看出,从第一个计数脉冲开始,Q 3Q 2Q 1=010,到第六个计数脉冲作用后,Q 3Q 2Q 1=101。从第七个计数脉冲开始,电路又回到了起始状态Q 3Q 2Q 1=010,故该计数器为模6的计数器。(3分) (2)状态转移真值表如下:(2分)

(3)状态转移图如下:(2分)

(4)若采用D 触发器,相应的激励函数为:(3分)

131231233Q Q Q Q Q Q Q Q D =+= 231231232Q Q Q Q Q Q Q Q D =+=

132132132132121D Q Q Q Q Q Q Q Q Q Q Q Q Q Q =++=+

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A.500KHz B.200KHz C.100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装

《数字逻辑》考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) A.10 101 B.0010 0101 C.100101 D.10101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C )

A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( A ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [] )E D (C B A F +?+?= 13.组合逻辑电路一般由( A )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( A )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( A ) 。 A. AB+AC+AD+AE B. A+BCED C. (A+BC)(A+DE) D. A+B+C+D+E 16.下列逻辑电路中,不是组合逻辑电路的有( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 17.逻辑表达式A+BC=( C )

数字逻辑考题及答案

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、()8 =( )16 2、 10= ( )2 3、(FF )16= ( 255 )10 4、[X]原=,真值X= ,[X]补 = 。 5、[X]反=,[X]补= 。 6、-9/16的补码为,反码为 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。 4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分)

5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 1 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 8分 2、分析以下电路,其中X 为控制端,说明电路功能。(10分) 解:XABC C B A X ABC X C B A X C B A X C B A X F ++++?+?= 4分 )()(ABC C B A X C B A X F ++⊕⊕= 4分 所以:X=0 完成判奇功能。 X=1 完成逻辑一致判断功能。 2分

数字逻辑电路期末考试卷及答案

- - 优质资料 期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷考试时间:110 分钟 XXXX 学院 ______________系级班 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F =B . C AB F += C .C A AB F += D .C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D .BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 得分 评卷人 装 订 线 内 请 勿 答 题

- 优 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++=功能相等的表达式为___C_____。 A .D C B A F +++=D C B A F +++= .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz

北邮数字逻辑期中试题及参考答案讲课稿

北京邮电大学 《数字电路与逻辑设计》期中考试试题 2015.4.11 班级姓名班内序号 题号一二三四五六七八总成绩 分数20 12 10 10 10 20 10 8 得分 注意:所有答案(包括选择题和计算题)一律写在试卷纸上,如果卷面位置不够,请写在试卷的背后,否则不计成绩。 一、(每题1分,共20分)判断(填√或×)、单项选择题 (请先在本试卷上答题之后,将全部答案汇总到本题末尾的表格中。) 1.ECL逻辑门与TTL门相比,主要优点是抗干扰能力强。(╳)2.CMOS门电路在使用时允许输入端悬空,并且悬空的输入端相当于输入逻辑“1”。( ╳ ) 3.若对4位二进制码(B 3B 2 B 1 B )进行奇校验编码,则校验位C= B 3 ⊕B 2 ⊕B 1 ⊕B ⊕1。 (√) 4.根据表1-1,用CMOS4000系列的逻辑门驱动TTL74系列的逻辑门,驱动门与负载门之间的电平匹配不存在问题(√) 5. 根据表1-1,用CMOS4000系列的逻辑门驱动TTL74系列的逻辑门,驱动门与负载门之间的电流驱动能力不存在问题(╳) 表1-1常用的TTL和CMOS门的典型参数

6.当i j ≠时,必有两个最小项之和+0i j m m =。(╳) 7. CMOS 门电路的静态功耗很低,但在输入信号动态转换时会有较大的电流,工作频率越高,静态功耗越大。(╳) 8. 逻辑函数的表达式是不唯一的,但其标准的最小项之和的表达式是唯一的。(√) 9.用数据分配器加上门电路可以实现任意的逻辑函数。( √ ) 10.格雷BCD 码具有单位距离特性(任意两个相邻的编码之间仅有一位不同)且是无权代码。(√) 11.关于函数F A C BCD AB C =++g ,下列说法中正确的有 B 。 A. 不存在冒险; B. 存在静态逻辑冒险,需要加冗余项ABD 和ACD 进行消除; C. 存在静态功能冒险,需要加冗余项ABD 和ACD 进行消除; D. 当输入ABCD 从 0001→0100变化时存在静态逻辑冒险。 12.逻辑函数F=A ⊕B 和G=A ⊙B 满足关系 D 。 A.F G = B.0F G += C.1F G =g D.0F G =e 13.若逻辑函数∑=)6,3,2,1(),,(m C B A F ,∑=)7,5,4,3,2,0(),,(m C B A G ,则 =?G F A 。 A.32m m + B.1 C.AB D.AB 14.若干个具有三态输出的电路输出端接到一点工作时,必须保证 B 。 A.任何时刻最多只能有一个电路处于高阻态,其余应处于工作态。 B.任何时刻最多只能有一个电路处于工作态,其余应处于高阻态。 C.任何时刻至少有一个电路处于高阻态,其余应处于工作态。 D.任何时刻至少有一个电路处于工作态,其余应处于高阻态。 15.可以用来传输连续变化的模拟信号的电路是 D 。 A. 三态输出的门电路。; B. 漏极开路的CMOS 门电路; C. ECL 门电路; D. CMOS 传输门

《数字逻辑》期末考试A卷参考答案

《数字逻辑》期末考试 A 卷参考答案 、判断题:下面描述正确的打’/,错误的打‘X’(每小题1分,共10 分) 1、为了表示104个信息,需7位二进制编码[V ] 2、BCD码能表示0至15之间的任意整数[X ] 3、余3码是有权码[X ] 4、2421码是无权码[X ] 5、二值数字逻辑中变量只能取值 6、计算机主机与鼠标是并行通信 7、计算机主机与键盘是串行通信8、占空比等于脉冲宽度除于周期0和1,且表示数的大小[X ] [X ] [V ] [V ] 9、上升时间和下降时间越长,器件速度越慢[V ] 10、卡诺图可用来化简任意个变量的逻辑表达式[X ] 、写出图中电路的逻辑函数表达式。(每小题5分,共10分) 1、F=A B 2、F= AB CD 2分,共20分)

1、在图示电路中.能实现逻辑功能F = ATH 的电路是 A ° TTL 电路 (A) F = ABCD (B) F = AH ? CD - (C) F= A + B + C + D (D) F = A + B ? C + D (E) F= A BCD 4 . 己知F 二ABC + CD ■可以肯定使F = 0的情况是 _° (A) A=0, BC= 1; (B) B= 1 , C= 1 } (C) AB= 1, CD=Q. (D) BC= 1 , D= 1 5、逻辑函数A B+BCD+A C+ B C 可化简为A,B,C,D 。 (A) AB + AC + BC (B) AB + C (A4-B) (C) AB + CAB A — O ? =1 1 Q A |— I 1 F ?- & 1 Q A B L I l — (B) 悬0 ---- 空 。— A — & Bo — Co- & B A 3 ?满足如图所示电路的输岀函数F 的表达式为丄3 B 1 o — V OF

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 一、选择题(每小题2分,共20分) 1.八进制(273)8中,它的第三位数2 的位权为___B___。 A.(128)10B.(64)10C.(256)10 D.(8)10 2. 已知逻辑表达式C B C A AB F+ + =,与它功能相等的函数表达式 _____B____。 A.AB F=B.C AB F+ = C.C A AB F+ =D.C B AB F+ = 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。 A.与关系B.异或关系C.同或关系D.无法判断 5.连续异或1985个1的结果是____B_____。 A.0B.1 C.不确定D.逻辑概念错误 6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 A.D C B A F+ + + =B.D C B A F+ + + = C.D C B A F=D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 B A F & ? F B A &

8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。 A. 0100100 B.1100011 C. 1011011 D.0011011 二、填空题(每小题2分,共20分) 11.TTL电路的电源是__5__V,高电平1对应的电压范围是__2.4-5____V。 12.N 个输入端的二进制译码器,共有___N2____个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。

数字逻辑第一章课后答案

(1)(1011.10101)2 =(13.52)8=(0B.A8)16=(11.65625)10 (2)(1110.11001)2 =(16.62)8=(0E.C8)16=(14.78125)10 (3)(110110.111)2 =(66.7)8=(36.E )16=(54.875)10 (4)(10101.0011)2 =(25.14)8=(15.3)16=(21.1875)10 1-2 (1)(105.625)10 =(1101001.101)2=(69.A )16 (2)(27/64)10 =(0.011011)2=(0.6C )16 (3)(37.4)10 =(100101. 01100110)2=(25.66)16 (4)(42.375)10 =(101010. 011)2=(2A.6)16 (5)(62/128)10 =(0.0111110)2=(0.7C )16 (6)(9.46)10 =(1001. 01110101)2=(9.75)16 1-3 (1)(AB.7)16 =(10101011. 0111)2=(171.4375)10 (2)(3A.D )16 =(111010. 1101)2=(58.8125)10 (3)(5F.C8)16 =(1011111. 11001)2=(95.78125)10 (4)(2E.9)16 =(101110. 1001)2=(46.5625)10 1-4 (1)真值表 (2)真值表 逻辑函数表达式: 逻辑函数表达式: 1-5 (1)反函数: 对偶函数: (2)反函数: 对偶函数: (3)反函数: 对偶函数: (4)反函数: 对偶函数: AB BC F +++??=ABCD D C AB D C B A D C B A D BC A D C B A CD B A D C B A F +?++?++?+?+???=ABC C A B A A C B A F =?+=+?+=)()(A C B A F +?+=)('C B A C B A B A C B A B A F )()()()(⊕=??+?=?+?+=C B A B A F ?+?+=)()('))()(())((E D B C A C B A F ++?+??+=))()(()(B ++?+?++=))()(())(('E D B C A C B A F ++?+??+=) ()('D C A C B A C A F ++?+++?=D C A ??+?=)()(D C A C B A C A F ++?+++?=

(完整版)数字逻辑期末试卷(B卷)试题及答案

华东师范大学期末试卷(B) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑________ 学生姓名:___秦宽________________ 学 号:_2013041046__________________ 专 业:____软件工程_______ 年级/班级:13级软件工程 课程性质:公共必修、公共选修、专业必修、专业选修 一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 答案:(111 1101 1010)B = (7DA )H = (0010 0000 0001 0000)8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 答案:与逻辑 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 答案:))((C A B A F D ++= ∑=m F (5,6,7) 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 答案:'D A + 4. 从结构上看,时序逻辑电路的基本单元是 。 答案:触发器 5. JK 触发器特征方程为 。 答案:Q K JQ ''+ 6.A/D 转换的一般步骤为:取样,保持, ,编码。 答案:量化

二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 答案:B 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 答案:D 3. 为实现“线与”逻辑功能,应选用( )。 A) 与非门 B) 与门 C) 集电极开路(OC )门 D) 三态门 答案:C 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 答案:A 5. 在下列逻辑部件中,属于组合逻辑电路的是( )。 A) 计数器 B) 数据选择器 C) 寄存器 D) 触发器 答案:B 6. 已知某触发器的时钟CP ,异步置0端为R D ,异步置1端为S D ,控制输入端V i 和输出Q 的波形如图2所示,根据波形可判断这个触发器是( )。 B C

数字逻辑基础作业及详细答案

第一章 数字逻辑基础 作业及参考答案 P43 1-7 列出下列问题的真值表,并写出逻辑函数表达式 (1)3个输入信号A 、B 、C ,如果3个输入信号都为1或其中两个信号为0,输出信号F 为1,其余情况下输出信号F 为0 。 (2)4个输入信号A 、B 、C 、D ,如果4个输入信号出现偶数个0时,输出信号F 为1,其余情况下,输出信号F 为0. (1)解:根据题意列出真值表如下:(2)解:根据题意列出真值表如下: ABC C B A C B A C B A F +++= ABCD D C AB D C B A D C B A D BC A D C B A D C AB D C B A F +++++++= 1-8 写出下列函数的反函数表达式和对偶函数表达式 解:(1)C AB F += C B A F ?+=)( C B A F ?+=)(' (2)C B A F +⊕= C B A F +⊕= C B A B A F ?+?+=)()(' (3)E BD AC D B A F )()(+++= ])()[()]([E D B C A D B A F ++?+?++= ])()[()('E D B C A BD A F ++?+?+= (4) CD A C B A B A F ++=)( )(CD A C B A B A F ++= )()('D C A C B A B A F ++?+++=

1-9 证明下列等式 (1)))(())()((C A B A C B C A B A ++=+++ 证明:))(())()((C B BC B A AC C B C A B A +++=+++ BC BC A B A AC ABC ++++=BC B A AC ++=))((C A B A ++= 证毕。 (2)E CD A E D C CD A ABC A ++=++++)( 证明:E D C CD A A E D C CD A ABC A )()(+++=++++ E D C A E D C D C A E D C CD A +++=++++=+++=)()( E CD A ++= 证毕。 (3)BC A BC D C A B A C A +=+++ 证明:BC B C A BC B A C A BC D C A B A C A ++=++=+++)( BC A BC BC A +=+=)( 证毕。 (4)D C D C B A D AC D C B D C A ⊕=+++⊕)( 证明:D C A D AC D C B D C A D C B A D AC D C B D C A +++⊕=+++⊕)()( )()()()(D C D C B D C D C A D C B D C A ⊕=+⊕=⊕++⊕= 证毕。 1-10 画出实现逻辑表达式BD E CD AB F ++=)(的逻辑电路图。 解:BD E CD AB F ++=)(BD CDE ABE ++=

数字逻辑习题及答案.

数字逻辑习题及答案 一. 填空题 1.一个触发器有Q和Q两个互补的输出引脚,通常所说的触发器的输出端是指 Q ,所谓置位就是将输出端置成 1 电平,复位就是将输出端置成 0 电平。 2.我们可以用逻辑函数来表示逻辑关系,任何一个逻辑关系都可以表示为逻辑函数的与或表达式,也可表示为逻辑函数的或与表达式。 3.计数器和定时器的内部结构是一样的,当对不规则的事件脉冲计数时,称为计数器,当对周期性的规则脉冲计数时,称为定时器。 4.当我们在计算机键盘上按一个标为“3”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为 33H 。 5.在5V供电的数字系统里,所谓的高电平并不是一定是5V,而是有一个电压范围,我们把这个电压范围称为高电平噪声容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平噪声容限。 二. 选择题 1.在数字系统里,当某一线路作为总线使用,那么接到该总线的所有输出设备(或器件)必须具有 b 结构,否则会产生数据冲突。 a. 集电极开路; b. 三态门; c. 灌电流; d. 拉电流2.TTL集成电路采用的是 b 控制,其功率损耗比较大;而MOS 集成电路采用的是 a 控制,其功率损耗比较小。 a. 电压; b.电流; c. 灌电流; d. 拉电流 3.欲将二进制代码翻译成输出信号选用 b ,欲将输入信号编成二进制代码选用 a ,欲将数字系统中多条传输线上的不同数字信号按需要选择一个送到公共数据线上选用 c ,

欲实现两个相同位二进制数和低位进位数的相加运算选用 e 。 a. 编码器; b. 译码器; c. 多路选择器; d. 数值比较器; e. 加法器; f. 触发器; g. 计数器; h. 寄存器 4. 卡诺图上变量的取值顺序是采用 b 的形式,以便能够用几何 上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII 码; d. 十进制码 5. 根据最小项与最大项的性质,任意两个不同的最小项之积为 0 ,任意两个不同的最大项之和为 1 。 a. 不确定; b. 0 ; c. 1 三. 简答题 1.分别写出(或画出)JK 、D 、T 和T ’四个触发器的特征方程、真 值表和状态转换图。 2.请分别完成下面逻辑函数的化简。 1). )DE C B A (*)E D )(C B A (F ++++++= 答:原式)DE C B A (*)]E D ()C B A ([+++++++= )DE )C B A ((*))DE )C B A ((++++++=)) C B A ()C B A ((DE DE )C B A ()C B A (+++++++++++= DE = 2). )EH D B A )(B A )(C A )(C B A (F +++++++= 答:原式的对偶式为: ) H E (ABD AB AC C AB 'F ++++= ))H E (BD B C C B (A ++++=)] H E (BD B B C [A ++++==A A )'A ()''F (===∴原式 3.请分别说明A/D 与D/A 转换器的作用,说明它们的主要技术指标, 并进一步说明在什么情况下必须在A/D 转换器前加采样·保持电路。 答:A/D 与D/A 转换器分别能够将模拟量转换成数字量与数字量转换 成模拟量,通过这样的转换电路,能够将模拟系统和数字系统联

2013《数字逻辑设计》期末考试-试题及参考答案-更新

………密………封………线………以………内………答………题………无………效…… 电子科技大学2012 -2013学年第二学期期末考试 A 卷 课程名称:_数字逻辑设计及应用__ 考试形式:闭卷考试日期: 20 13 年 07 月05 日 考试时长:_120___分钟 课程成绩构成:平时 30 %,期中 30 %,实验0 %,期末 40 % 本试卷试题由___七__部分构成,共__7___页。 题号一二三四五六七八九十合计 得分 I. Fill out your answers in the blanks (3’ X 10=30’) 1. If a 74x138 binary decoder has 110 on its inputs CBA, the active LOW output Y5 should be ( 1 or high ). 2. If the next state of the unused states are marked as “don’t-cares” when designing a finite state machine, this approach is called minimal ( cost ) approach. 3.The RCO_L of 4-bit counter 74x169 is ( 0 or low) when counting to 0000 in decreasing order. 4. To design a "001010" serial sequence generator by shift registers, the shift register should need ( 4 ) bit at least. 5. One state transition equation is Q* = JQ’+K’Q. If we use T flip-flop with enable to complete the equation,the enable input of T flip-flop should have the function EN = ( JQ’+KQ ). (参见课件Flip-flops Transforming Skills.ppt) 6. A 4-bit Binary counter can have ( 16 ) normal states at most, 4-bit Johnson counter with no self-correction can have ( 8 ) normal states, 4-bit linear feedback shift-register (LFSR) counter with self-correction can have ( 16 ) normal states. 7. If we use a ROM, whose capacity is 16 × 4 bits, to construct a 4-bit binary code to gray code converter, when the address inputs are 1001, ( 1101) will be the output. 8. When the input is 10000000 of an 8 bit DAC, the corresponding output voltage is 2V. The output voltage is

数字逻辑期末试题及答案

西 安 金 戈 技 术 学 校 2008年秋季学期期末考试数字逻辑电路试卷 注意事项 1. 考试时间:120 分钟。 2. 本卷依据2005年颁布的《技工类院校考试要求》命制。 3. 请首先按要求在试卷的规定位置填写您的姓名、准考证号和所在班级。 4. 请仔细阅读各种题目的回答要求,在规定的位置填写您的答案。 5. 不要在试卷上乱写乱画,不要在试卷上填写无关的内容。 一. 判断: 1.数字电路抗干扰能力强的原因是只传递1和0的数字信息.. ( ) 2.BCD 码就是8421BCD 码. ( ) 3.卡诺图是真值表示的另一种形式,具有唯一性. ( ) 4.二极管可组成与门电路,但不能组成或门电路. ( ) 5.三极管非门电路中的三极管主要工作在放大状态. ( ) 6.组合逻辑电路中有具有记忆功能的逻辑部件. ( ) 7.译码是编码的逆过程. ( ) 8.触发器也称为半导体存贮单元. ( ) 9.同步触发器存在空翻现象,而边沿型触发器和主从型触发器克服了空翻. 10.JK 触发器的JK 端相当KS 触发器的SR 端. ( ) 二.选择: 1.若编码器编码输出位数为4位,则最多可对( )个输入信号编码. A.4 B.6 C.16 D.32 2.16选1数据选择器其地址输入至少应有( )位. A.2 B.4 C.8 D.16 3.半加器有( ),全加器有( ) A.2个输入端,2个输出端 B.2个输入端,3个输出端 C.3个输入端,2个输出端 D.3个输入端,3个输出端 4.二极管可组成的电路( ) A.只能是与门 B.只能是或门 C.与门和或门都可以 D.非门 5.通常能实现“线与”功能的门电路是( ) A.OC 门 BTSL.门 C.TTL 与门 6.BCD 码是( ) A.二进制码 B.十进制码 C.二一十进制码 7.下列逻辑函数表示方法中,具有唯一性的是(多选)( ) A.真值表 B.逻辑表达式 C.逻辑图 D.卡诺图 8.下列选项中不属于卡诺图特点的是( ) … … ……… ……考……………生… ……… …答……………题……………不……………准……………超……………过……………此……………线…………………

数字逻辑试题及答案

期数字逻辑试题A 一、填空题(共15分,每空1分) 1、分别写出逻辑函数的五种表示方法()、()、()、()、()。2、数字逻辑电路的两大种类分别是()电路,()电路。 3、( )和( )是衡量A/D转换器D/A转换器性能优劣的主要指标。 4、消除竞争冒险的4种方法分别是()、()、()、()。 5、555定时器电路提供了一个复位电平为()和置位电平为()。 二、选择题(共10分,每题5分) 1、将(175)10十进制数转换为二进制数下列选择结果中正确的是()。 A、二进制数(11010111)2 B、二进制数(10110011)2 C、二进制数(10011010)2 D、二进制数(1010111 1)2 2、运用摩根定理变换Y=X Q Z 的表达式,下列选择中正确的是()。 A、Y=( X + Q + Z ) B、Y=(X + Q +Z) C、Y=(X + Q + Z) D、Y=( X + Q + Z ) 三、综合题(共20分,每题10分) 1、对如图电路分别写出E = 0 或E = 1 时,函数F 的逻辑表达式。 2、用图形法化简函数为最简与或式 F(A,B,C,D)=∑M(0,1,2,3,6,8)+∑D(10,11,12,13,14,15) 四、组合逻辑电路分析、设计题(共25分,1题10分,2题15分) 1、写出下图电路(电路由四个或非门组成)输出信号的逻辑表达式,并说明其功能。 F

2、用集成译码器74LS138实现下列组合逻辑函数 Z1=AB+AB+BC Z2=AB+BC+CA 30分,每题15分) 1、一个时序逻辑电路如下图所示(由两个D触发器组成),请画出其状态图。 CP 2、用JK触发器设计一个同步、上升沿触发的四进制计数器。状态图如下 /0 /0 /0 /1

《数字逻辑》(第二版)习题答案 第一章

第一章 1. 什么是模拟信号?什么是数字信号?试举出实例。 解答 模拟信号-----指在时间上和数值上均作连续变化的信号。例如,温度、压 力、交流电压等信号。 数字信号-----指信号的变化在时间上和数值上都是断续的,阶跃式的,或者说是离散的,这类信号有时又称为离散信号。例如,在数 字系统中的脉冲信号、开关状态等。 2. 数字逻辑电路具有哪些主要特点? 解答 数字逻辑电路具有如下主要特点: ●电路的基本工作信号是二值信号。 ●电路中的半导体器件一般都工作在开、关状态。 ●电路结构简单、功耗低、便于集成制造和系列化生产。产品价格低 廉、使用方便、通用性好。 ●由数字逻辑电路构成的数字系统工作速度快、精度高、功能强、可 靠性好。 3. 数字逻辑电路按功能可分为哪两种类型?主要区别是什么? 解答 根据数字逻辑电路有无记忆功能,可分为组合逻辑电路和时序逻辑电路两类。 组合逻辑电路:电路在任意时刻产生的稳定输出值仅取决于该时刻电路 输入值的组合,而与电路过去的输入值无关。组合逻辑 电路又可根据输出端个数的多少进一步分为单输出和 多输出组合逻辑电路。 时序逻辑电路:电路在任意时刻产生的稳定输出值不仅与该时刻电路的输 入值有关,而且与电路过去的输入值有关。时序逻辑电 路又可根据电路中有无统一的定时信号进一步分为同 步时序逻辑电路和异步时序逻辑电路。 4. 最简电路是否一定最佳?为什么?

解答 一个最简的方案并不等于一个最佳的方案。最佳方案应满足全面的性能指标和实际应用要求。所以,在求出一个实现预定功能的最简电路之后,往往要根据实际情况进行相应调整。 5. 把下列不同进制数写成按权展开形式。 (1) (4517.239)10 (3) (325.744)8 (2) (10110.0101)2 (4) (785.4AF)16 解答 (1)(4517.239)10 = 4×103+5×102+1×101+7×100+2×10-1 +3×10-2+9×10-3 (2)(10110.0101)2 = 1×24+1×22+1×21+1×2-2+1×2-4 (3)(325.744)8 = 3×82+2×81+5×80+7×8-1+4×8-2+4×8-3 (4) (785.4AF)16 = 7×162+8×161+5×160+4×16-1+10×16-2 +15×16-3 6.将下列二进制数转换成十进制数、八进制数和十六进制数。 (1)1110101 (2) 0.110101 (3) 10111.01 解答 (1)(1110101)2= 1×26+1×25+1×24+1×22+1×20

2013数字逻辑期末试卷试题及答案

一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 4. 从结构上看,时序逻辑电路的基本单元是 。 5. JK 触发器特征方程为 。 二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 +U CC +U CC -U BB R R K R C R B A B C F

5. 在下列逻辑部件中,属于组合逻辑电路的是()。 A) 计数器B) 数据选择器C) 寄存器D) 触发器 6. 已知某触发器的时钟CP,异步置0端为R D,异步置1端为S D,控制输入端V i和输出Q的波形如图2所示,根据波形可判断这个触发器是()。 图2 A) 上升沿D触发器B) 下降沿D触发器 C) 下降沿T触发器D) 上升沿T触发器 7. 寄存器要存放n位二进制数码时,需要( )个触发器。 A) n B) n log C) n2D) n/2 2 8. 下面哪种不是施密特触发器的应用:( ) A) 稳定频率脉冲输出B) 波形变换C) 脉冲整形D) 脉冲鉴幅 9. 下列哪个不能用555电路构成:( ) A)施密特触发器B)单稳态触发器C)多谐振荡器D)晶体振荡器 三、简答题(15分) 1.用公式法化简逻辑函数:Y=A'BC +(A+B')C (7分)

北邮数字逻辑期中试题与参考答案

邮电大学 《数字电路与逻辑设计》期中考试试题 2015.4.11 班级班序号 注意:所有答案(包括选择题和计算题)一律写在试卷纸上,如果卷面位置不够,请写在试卷的背后,否则不计成绩。 一、(每题1分,共20分)判断(填√或×)、单项选择题 (请先在本试卷上答题之后,将全部答案汇总到本题末尾的表格中。)1.ECL逻辑门与TTL门相比,主要优点是抗干扰能力强。(╳)2.CMOS门电路在使用时允许输入端悬空,并且悬空的输入端相当于输入逻辑“1”。( ╳) 3.若对4位二进制码(B3B2B1B0)进行奇校验编码,则校验位C= B3⊕B2⊕B1⊕B0⊕1。(√) 4.根据表1-1,用CMOS4000系列的逻辑门驱动TTL74系列的逻辑门,驱动门与负载门之间的电平匹配不存在问题(√) 5. 根据表1-1,用CMOS4000系列的逻辑门驱动TTL74系列的逻辑门,驱动门与负载门之间的电流驱动能力不存在问题(╳) 表1-1常用的TTL和CMOS门的典型参数

6.当i j ≠时,必有两个最小项之和+0 m m=。(╳) i j 7. CMOS门电路的静态功耗很低,但在输入信号动态转换时会有较大的电流,工作频率越高,静态功耗越大。(╳) 8. 逻辑函数的表达式是不唯一的,但其标准的最小项之和的表达式是唯一的。(√) 9.用数据分配器加上门电路可以实现任意的逻辑函数。(√) 10.格雷BCD码具有单位距离特性(任意两个相邻的编码之间仅有一位不同)且是无权代码。(√) =++g,下列说法中正确的有 B 。11.关于函数F A C BCD AB C A. 不存在冒险; B. 存在静态逻辑冒险,需要加冗余项ABD和ACD进行消除; C. 存在静态功能冒险,需要加冗余项ABD和ACD进行消除; D. 当输入ABCD从0001→0100变化时存在静态逻辑冒险。 12.逻辑函数F=A⊕B和G=A⊙B满足关系D。

数字逻辑课本习题答案

习题五 1. 简述时序逻辑电路与组合逻辑电路的主要区别。 解答 组合逻辑电路:若逻辑电路在任何时刻产生的稳定输出值仅仅取决于该时刻各输入值的组合,而与过去的输入值无关,则称为组合逻辑电路。组合电路具有如下特征: ①由逻辑门电路组成,不包含任何记忆元件; ②信号是单向传输的,不存在任何反馈回路。 时序逻辑电路:若逻辑电路在任何时刻产生的稳定输出信号不仅与电路该时刻的输入信号有关,还与电路过去的输入信号有关,则称为时序逻辑电路。时序逻辑电路具有如下特征: ○1电路由组合电路和存储电路组成,具有对过去输入进行记忆的功能; ○2电路中包含反馈回路,通过反馈使电路功能与“时序”相关; ○3电路的输出由电路当时的输入和状态(过去的输入)共同决定。 2. 作出与表1所示状态表对应的状态图。 表1 状态表 现态y2 y1 次态y2 ( n+1)y1(n+1) /输出Z x2x1=00x2x1=01x2x1=11x2x1=10 A B C D B/0 B/0 C/0 A/0 B/0 C/1 B/0 A/1 A/1 A/0 D/0 C/0 B/0 D/1 A/0 C/0

解答 根据表1所示状态表可作出对应的状态图如图1所示。 图1 3. 已知状态图如图2所示,输入序列为x=,设初始状态为A,求状态和输出响应序列。 图2 解答 状态响应序列:A A B C B B C B 输出响应序列:0 0 0 0 1 0 0 1

4. 分析图3所示逻辑电路。假定电路初始状态为“00”,说明该电路逻辑 功能 。 图 3 解答 ○1 根据电路图可写出输出函数和激励函数表达式为 x K x,J ,x K ,xy J y xy Z 111121 2===== ○2 根据输出函数、激励函数表达式和JK 触发器功能表可作出状态表如表2所示, 状态图如图4所示。 表2 图4 现态 y 2 y 1 次态 y 2( n+1)y 1(n+1)/输出Z x=0 x=1 00 01 10 11 00/0 00/0 00/0 00/0 01/0 11/0 11/0 11/1

相关文档
相关文档 最新文档