文档库 最新最全的文档下载
当前位置:文档库 › 数字电子技术教学大纲

数字电子技术教学大纲

数字电子技术教学大纲
数字电子技术教学大纲

《数字电子技术》教学大纲

一、课程说明

【课程性质】数字电子技术是电子技术的一个重要组成部分,《数字电子技术》是电子信息专业本科学生一门重要的专业技术基础课程,数字电子技术是今后电子技术发

展的主要方向,本门课程的开设是为培养电子信息科学与技术、电子信息工程、

通信工程专业学生分析、设计数字电子电路,进而全面提高学生对电子电路应

用能力,本门课程还为后续课程的学习提供专业基础。

【目的任务】掌握数字电子技术的基本槪念、基本原理和基本的分析、设计方法。熟悉典型基本单元电路及数字系统读图。

【学习本课程的前设知识】学习本课程前,学生应具备一定的电子电路知识和初步的电路分析能力。

【总体目标与要求】《数字电子技术》是一门重要技术基础必修课程,通过本课程学习和实验训练,使学生掌握数字电子技术的基本理论,熟悉其基本概念、基本原理和

基本分析和设计方法,能进行简单的数字电路的安装和调试,并具备进一步学

习电子技术及其专业课的能力。

【教材与教学参考书】

教材:《电子技术基础》(数字部分)(第四版)高等教育出版社康华光主编《电子技术基础实验》高等教育出版社陈大钦主编

参考书:《数字电子技术基础》高等教育出版社阎石主编

《数字电路》西安电子科技大学出版社江晓安主编

《数字系统与设计》清华大学出版社韩宝琴主编

【课程总学时】理论课:72学时;实验课:24学时;总学时:96学时。

二、学时分配

三、教学内容和教学要求

理论部分:

第一章数字逻辑基础

[教学目的和要求] 通过本章的学习,使学生了解模拟信号与数字信号、模拟电路与数字电路的区别与联系,掌握数字量、数制的概念及不同数制的互化,掌握基本逻辑运算、逻辑函数的概念及逻辑问题的描述。

[教学内容]

引言

1.1模拟信号与数字信号

1.1.1模拟信号

1.1.2数字信号

1.1.

2.1 二值数字逻辑与逻辑电平

1.1.

2.2 数字波形

1.1.

2.3 模拟量的数字表示

1.2数字电路

1.2.1 数字电路的发展与分类

1.2.2 数字电路的分析方法与测试技术

1.3数制

1.3.1 十进制

1.3.2 二进制

1.3.3 二-十进制之间的转换

1.3.4 十六进制和八进制

1.4二进制码

1.5基本逻辑运算

1.6逻辑函数与逻辑问题描述

[教学建议] 基本逻辑运算、逻辑函数的概念及逻辑问题的描述是本章重点,尤其是逻辑函数的不同表示方法及其互相转换,应通过实例详细介绍。

[作业]2次

第二章门电路

[教学目的和要求]通过本章的学习,使学生掌握TTL门电路和CMOS门电路的逻辑功能及其电气特性,特别是输入特性和输出特性。

[教学内容]

引言

2.1 二极管的开关特性

2.2 BJT的开关特性

2.2.1 BJT的开关作用

2.2.2 BJT的开关时间

2.3 基本逻辑门电路

2.3.1二极管与门及或门电路

2.3.2非门电路——BJT反相器

2.4 TTL逻辑门电路

2.4.1 基本的BJT反相器的动态性能

2.4.2 TTL反相器的基本电路

2.4.3 TTL反相器的的传输特性

2.4.4 TTL与非门电路

2.4.5 TTL与非门的技术参数

2.4.6 TTL或非门、集电极开路门和三态门电路

2.4.7 改进型TTL门电路——抗饱和TTL与非门电路

*2.5射极耦合逻辑门电路

2.6CMOS逻辑门电路

2.6.1 CMOS反相器

2.6.2 CMOS门电路

2.6.3 BiCMOS门电路

2.6.4 CMOS传输门

2.6.5 CMOS逻辑门电路的技术参数

2.7NMOS逻辑门电路

2.8正负逻辑问题

2.9逻辑门电路使用中的几个实际问题

2.9.1 各种门电路之间的接口问题

2.9.2门电路带负载时的接口问题

2.9.3 抗干扰措施

[教学建议] 讲授门电路的电气特性时,重点放在门电路的外特性上,特别是输入和输出特性。

[作业]3次

第三章组合逻辑电路

[教学目的和要求]通过本章的学习,使学生掌握逻辑代数的基本公式和原理、逻辑函数的化简方法,掌握组合逻辑电路的分析方法和设计方法,了解组合逻辑电路的竟争——冒险现象及其产生的原因。

[教学内容]

引言

3.1 逻辑代数

3.1.1逻辑代数的基本定律和恒等式

3.1.2逻辑代数的基本规则

3.1.3逻辑函数的代数变换及化简法

3.2 逻辑函数的卡诺图化简法

3.2.1 最小项的定义及其性质

3.2.2 逻辑函数的最小项表达式

3.2.3 用卡诺图表示逻辑函数

3.2.4 用卡诺图化简逻辑函数

3.3 组合逻辑电路的分析

3.4 组合逻辑电路的设计

3.5 组合逻辑电路中的竞争冒险

3.5.1 产生竞争冒险的原因

3.5.2 消除竞争冒险的方法

[教学建议]逻辑函数的化简方法是本章重点,包括公式化简法和卡诺图化简法。组合逻辑电路的分析与设计是本章的另一重点,通过实例详细介绍组合逻辑电路的特点及其分析方法和设计方法。

[作业]5次

第四章常用组合逻辑功能器件

[教学目的和要求]通过本章的学习,使学生熟悉常用组合逻辑电路的工作原理,掌握用中规模的组合功能元件设计组合逻辑电路的方法。

[教学内容]

引言

4.1编码器

4.1.1编码器的定义与功能

4.1.2 集成电路编码器

4.2 译码器/数据分配器

4.2.1译码器的定义及功能

4.2.2 集成电路译码器

4.2.3 数据分配器

4.3 数据选择器

4.3.1数据选择器的定义及功能

4.3.2 集成电路数据选择器

4.4 数值比较器

4.4.1数值比较器的定义及功能

4.4.2 集成电路数值比较器

4.5 算术运算电路

4.5.1 半加器和全加器

4.5.2 多位数加法器

4.5.3 减法运算

4.5.4 集成算术/逻辑单元举例

[教学建议]重点在于介绍组合逻辑功能器件的功能及用组合逻辑功能器件设计组合逻辑电路的方法。

[作业]4次

第五章触发器

[教学目的和要求]通过本章的学习,使学生掌握时序逻辑电路的基本单元——触发器的逻辑功能及其工作原理,熟悉各种触法器的工作特点及其转换原理和方法。

[教学内容]

引言

5.1 触发器的电路结构与工作原理

5.1.1 基本RS触法器

5.1.2 同步RS触法器

5.1.3 主从触发器

5.1.4 边沿触发器

5.2 触发器的功能

5.2.1 RS触发器

5.2.2 JK触发器

5.2.3 T触发器

5.2.4 D触发器

5.3 触发器的脉冲工作特性及主要参数

5.3.1集成触发器的脉冲工作特性

5.3.2集成触发器的主要参数

[教学建议]重点分析不同电路结构所带来的不同动作特点,讲清电路结构形式和逻辑功能这两个不同的概念。

[作业]4次

第六章时序逻辑电路的分析与设计

[教学目的和要求]通过本章的学习,使学生掌握时序逻辑电路的特点、典型电路的工作原理和用法,以及分析和设计时序逻辑电路的一般方法。

[教学内容]

引言

6.1 时序逻辑电路的基本概念

6.1.1时序逻辑电路的基本结构及特点

6.1.2时序逻辑电路的分类

6.1.3时序逻辑电路功能的描述方法

6.2 时序逻辑电路的分析方法

6.2.1 分析时序逻辑电路的一般步骤

6.2.2 同步时序逻辑电路的分析举例

6.2.3 异步时序逻辑电路的分析举例

6.3 时序逻辑电路的设计方法

6.3.1 同步时序逻辑电路设计的一般步骤

6.3.2 同步时序逻辑电路设计举例

[教学建议]重点是时序逻辑电路的描述方法,以及时序逻辑电路的分析和设计步骤。[作业]5次

第七章常用时序逻辑功能器件

[教学目的和要求]通过本章的学习,使学生熟悉常用时序逻辑电路的工作原理,掌握用中规模的组合功能元件设计组合逻辑电路的方法。

[教学内容]

引言

7.1计数器

7.1.1二进制计数器

7.1.2 非二进制计数器

7.1.3 集成计数器

7.2寄存器和移位寄存器

7.2.1寄存器

7.2.2 移位寄存器

7.2.3 集成移位寄存器74194

[教学建议]重点在于介绍时序逻辑功能器件的功能及用时序逻辑功能器件设计时序逻辑电路的方法。

[作业]4次

第八章半导体存储器和可编程逻辑器件

[教学目的和要求]通过本章的学习,使学生掌握大规模集成电路的结构特点和工作原理,熟悉各类存储器及可编程逻辑器件的结构、工作原理和使用方法。

[教学内容]

引言

8.1随机存取存储器(RAM)

8.1.1 RAM的结构及其工作原理

8.1.2 RAM存储容量的扩展

8.1.3 RAM举例

8.2只读存储器(ROM)

8.3可编程逻辑器件(PLD)

8.3.1 PLD的电路表示法

8.3.2 可编程逻辑阵列器件(PAL)简介

8.3.3可编程通用阵列逻辑器件(GAL)

8.4复杂的可编程逻辑器件(CPLD)

8.4.1 CPLD的结构

8.4.2 CPLD的编程

8.5现场可编程门阵列(FPGA)

8.5.1 FPGA中编程实现逻辑功能的基本原理

8.5.2现场可编程门阵列结构

8.5.3 编程实现原理简介

[教学建议]重点介绍各类存储器及可编程逻辑器件的结构、功能和使用方法。

[作业]3次

第九章脉冲波形的产生和整形

[教学目的和要求]通过本章的学习,使学生掌握脉冲波形的产生和整形电路的工作原理,熟悉几种典型电路。

[教学内容]

引言

9.1 多谐振荡器

9.1.1用门电路组成的多谐振荡器

9.1.2石英晶体多谐振荡器

9.2 单稳态触法器

9.2.1用门电路组成的微分型单稳态触发器

9.2.2 集成单稳态触发器

9.2.3单稳态触发器的应用

9.3 施密特触法器

9.3.1用门电路组成的施密特触法器

9.3.2 集成施密特触法器

9.3.3施密特触法器应用

9.4 555定时器及其应用

9.4.1 555定时器

9.4.2 555定时器应用举例

[教学建议]重点是波形分析方法,正确理解电路的工作原理,掌握波形分析方法和步骤。[作业]3次

第十章数模与模数转换器

[教学目的和要求] 通过本章的学习,使学生掌握数——模和模——数转换的基本原理和常见的典型电路。

[教学内容]

引言

10.1 D/A转换器

10.1.1 倒T型电阻网络D/A转换器

10.1.2 权电流型D/A转换器

10.1.3 D/A转换器的输出方式

10.1.4 D/A转换器的主要性能指标

10.1.5 集成D/A转换器及其应用

10.2 A/D转换器

10.2.1 A/D转换的一般工作过程

10.2.2并行比较型A/D转换器

10.2.3逐行比较型A/D转换器

10.2.4双积分式A/D转换器

10.2.5 A/D转换器的主要性能指标

10.2.6集成A/D转换器及其应用

[教学建议]掌握转换器的工作参数,重点是转换精度与转换速度。

[作业]2次

*第十一章数字系统设计基础

[教学目的和要求]通过本章的学习,使学生掌握数字系统设计的一般方法和步骤。[教学内容]

引言

11.1 数字系统的设计方法

11.1.1 数字系统的组成

11.1.2数字系统的设计方法

11.1.3现代数字系统的实现

11.2 算法状态机

11.2.1 ASM图符号

11.2.2数字系统的ASM图法设计举例

11.3 寄存器传输语言

11.3.1寄存器传输语言的几种操作

11.3.2运用寄存器传输语言设计举例

11.4 用可编程逻辑器件实现数字系统

11.4.1 交通灯控制系统的实现

11.4.2数字密码锁的实现

[教学建议]重点是通过实例讲述数字系统设计的一般方法和步骤。

实验部分:

实验十五与非门的测试(必做)

[实验目的和要求]

(1)熟悉双踪示波器、数字万用表、数字电路实验箱、信号发生器在数字电路实验中的使用;

(2)掌握TTL和CMOS逻辑门电路主要参数的测试方法;

(3)掌握TTL与非门电压传输特性的测试方法。

[实验内容]

(1)测量74LS00在带负载和开路情况下的输出高电平V OH和输出低电平V OL。

(2)测量TTL与非门74LS00的输入短路电流I IS。

(3)测量TTL与非门74LS00的扇出系数N。

(4)测量TTL与非门74LS00的电压传输特性曲线。

(5)TTL与非门的真值表逐项验证74LS00逻辑功能。

(6)CC4011在开路情况下的输出高电平V OH和输出低电平V OL。

[主要实验仪器与器材]

双踪示波器、数字万用表、数字电路实验箱、信号发生器各一台,

74LS00、CC4011各1片

实验十六(一)、(二)组合逻辑电路实验(必做)

[实验目的和要求]

(1)了解编码器、译码器和数据选择器的功能和使用方法;

(2)掌握用SSI和MSI设计组合逻辑电路的方法。

[实验内容]

(1)用SSI设计一个一位二进制数大小比较电路;

(2)用SSI设计一个数据选择器电路;

(3)用SSI设计一个交通信号灯工作状态监视电路;

(4)验证编码器74LS148和七段码译码器74LS247的逻辑功能;

(5)用74LS151设计交通信号灯工作状态监视电路;

(6)用74LS138及必要的门电路设计交通信号灯工作状态监视电路;

(7)用74LS138设计数据选择器电路。

[主要实验仪器与器材]

双踪示波器、数字万用表、数字电路实验箱、信号发生器各一台,

74LS00 2片、74LS10 2片、74LS20 1片、74LS148 1片、

74LS247 1片、74LS151 1片、74LS138 1片

实验十七集成触发器(选做)

[实验目的和要求]

(1)熟悉并验证触发器的逻辑功能;

(2)掌握触发器的相互转换的方法;

(3)用JK触发器构成简单的时序逻辑电路。

[实验内容]

(1)验证集成JK触发器的逻辑功能;

(2)将JK触发器的转换为D、T触发器;

(3)用JK触发器构成二分频的时序逻辑电路,观察输出波形,理解分频的概念;

(4)用JK触发器设计一个同步时序脉冲输出器,观察输出波形,

(5)用JK触发器构成二分频的时序逻辑电路,观察输出波形。

[主要实验仪器与器材]

双踪示波器、数字万用表、数字电路实验箱、信号发生器各一台,

CC4027 1片、CC4023 1片

实验十八计数、译码、显示电路(必做)

[实验目的和要求]

(1)掌握集成计数器CC40161的逻辑功能;

(2)学习计数器CC40161、七段码译码器74LS247和七段显示器的连接方法。

[实验内容]

(1)测试CC40161的逻辑功能;

(2)分别采用复位和置数的方法将CC40161接成十进制计数器,并输出到译码显示电路。观察电路的计数、译码、显示过程;

(3)分别将上述电路的CC40161的CP端输入1KHz的方波,用示波器观察CP、Q0、Q1、Q2、Q3的波形,比较其时序关系;

(4)设计并组装一个六十进制计数器,要求十位为0时,不显示。

[主要实验仪器与器材]

双踪示波器、数字万用表、数字电路实验箱、信号发生器各一台,

CC40161 2片、74LS247 2片、CC4011 1片

实验十九可编程计数器(选做)

[实验目的和要求]

(1)熟悉集成可编程计数器的逻辑功能;

(2)学习用CC40161构成任意进制计数器的使用方法;

(3)学习使用多路模拟开关CC4052。

[实验内容]

(1)验证双4选1开关CC4052的逻辑功能;

(2)用CC40161、CC4052和CC4011构成可编程的任意进制计数器;

(3)用CC40161、CC4052构成可编程的补码计数器;

(4)将1kHz方波接入CP 端,用示波器记录可编程补码计数器各输出端及CP端的波形,比较它们的时序。

[主要实验仪器与器材]

双踪示波器、数字万用表、数字电路实验箱、信号发生器各一台,

CC40161 1片、CC4052 1片、CC4011 1片

实验二十移位寄存器(选做)

[实验目的和要求]

(1)熟悉移位寄存器74LS194的逻辑功能;

(2)学习三态门74LS125、计数器74LS93和译码器74LS138的使用方法;

(3)进一步掌握用示波器观察多个波形的时序关系。

[实验内容]

(1)测试移位寄存器74LS194的逻辑功能;

(2)测试计数器74LS93的逻辑功能;

(3)组装移位寄存器型环形计数器;

(4)组装时序脉冲产生电路;

(5)组装串行移位电路,并接如1kHz信号到CP端,观察输出波形。

[主要实验仪器与器材]

双踪示波器、数字万用表、数字电路实验箱、信号发生器各一台,

74LS194 1片、74LS93 1片、74LS138 1片、74LS125 1片

实验二十二 555集成定时器及应用(必做)

[实验目的和要求]

(1)熟悉555的组成及工作原理;

(2)掌握用555构成单稳态触发器、多谐振荡器和施密特触发器的方法。

(3)进一步熟练用示波器测量周期、脉宽和幅度

[实验内容]

(1)用555集成定时器组装单稳态触发器,用示波器观察vi、vc和vo的波形,比较其时序关系。

(2)用555组装占空比可调的多谐振荡器,调节Rp,测量输出波形占空比为1/4,1/2,3/4时的R1 、R2 ;

(3)多谐振荡器取R1=5.1K,R2=4.7K,C=0.01uF,用示波器观察vc和vo的波形;

(4)用555组装施密特触发器。用示波器观察vi 和vo的波形,比较其时序关系。[主要实验仪器与器材]

双踪示波器、数字万用表、数字电路实验箱、信号发生器各一台,NE555 2片

实验二十四(一)(二)数-模与模-数变换器(选做)

[实验目的和要求]

(1)熟悉数-模转换器和模-数转换器的原理、分类及主要性能指标;

(2)学习集成数-模转换器DAC0808的使用方法及其应用;

(3)学习集成模-数转换器ADC0804的使用方法。

[实验内容]

(1)数-模转换器DAC0808的静态测试:

(2)DAC0808构成阶梯波电压产生器:

(a)CC40161接线如图所示,CP输入1KHz的方波,

Q3Q2Q1Q0分别接0808的D7D6D5D4,观察V o的波形。

(b) Q3Q2Q1Q0分别接0808的D6D5D4D3,再观察V o的波形。;

(3)固定VIN,调节VREF,使ADC0804输出为11111110,测出此时的VREF;

(4)固定VREF,调节VIN分别为3.5V、2.5V、1.5V、1.0V、0.5V,读出ADC0804输出的数字量;

(5)动态测试ADC0804的性能,方便用锯齿波和方波输入,观察输出端的情况。[主要实验仪器与器材]

双踪示波器、数字万用表、数字电路实验箱、信号发生器各一台,

ADC0804、DAC0808、CC40161、LM324各1片

实验三十三篮球竞赛30秒定时器(选做)

[实验目的和要求]

(1)设计并调试一个30秒定时器;

(2)初步了解数字电子电路的设计、安装、调试的方法。

[实验内容]

设计、组装并调试一个篮球30秒递减定时器。

要求:

(1)定时器具有时间显示功能。

(2)定时器具有外部清零、启动、暂停和连续计时功能。

(3)定时器计时到0时,指示灯闪烁报警。

[主要实验仪器与器材]

双踪示波器、数字万用表、数字电路实验箱、信号发生器各一台,

74LS00 1片、74LS10 1片、CC40192 2片、NE555 1片、74LS247 2片

实验三十四数字定时抢答器(选做)

[实验目的和要求]

(1)设计并调试一个数字定时抢答器;

(2)进一步掌握数字电子电路的设计、安装、调试的方法。

[实验内容]

设计、组装并调试一个数字定时抢答器。要求:

(1)8 路输入的抢答功能,并显示抢答者编号。

(2)定时功能,定时时间:10秒。

(3)指示灯闪烁报警:

(a)主持人按“启动”,指示灯闪烁报警,电路开始工作

(b)抢答成功,指示灯闪烁报警,同时显示抢答者编号,电路停止工作。

(c)抢答时间到,指示灯闪烁报警,电路停止工作。

[主要实验仪器与器材]

双踪示波器、数字万用表、数字电路实验箱、信号发生器各一台,

74LS00 1片、CC40192 2片、NE555 1片、74LS247 2片、

74LS148 1片、74LS279 1片、74LS121 1片

实验三十六交通灯控制电路(选做)

[实验目的和要求]

(1)设计并调试一个十字路口的交通灯控制电路;

(2)进一步掌握数字电子电路的设计、安装、调试的方法。

[实验内容]

设计、组装并调试一个十字路口的交通灯控制电路。要求:

(1)甲、乙车道两条交叉道路的车辆交替通行,时间各为25秒。

(2)在变换通行车道前,黄灯亮以1Hz的频率闪烁5秒。

(3)完成整个电路的联调,并测试其功能。

[主要实验仪器与器材]

双踪示波器、数字万用表、数字电路实验箱、信号发生器各一台,74LS74 1片、74LS10 1片、74LS00 2片、74LS153 2片、74LS163 2片、NE555 1片

四、成绩评定办法

《数字电子技术》课程的成绩分为理论课成绩和实验成绩两部分,分别按70%和30%计算总分。理论课成绩又分平时、期中、期末按10%、20%、70%计算。理论课期中、期末考试以闭卷考试的形式进行,实验考试以抽签的方式在规定的时间内进行操作考试,并完成实验报告。

数字电子技术教学大纲

电子技术应用专业数字电子技术模块教学大纲 一、说明: 1、大纲的编写依据:“国务院关于大力推进职业教育改革与发展的决定”, 结合“教育部关于加快发展职业教育的意见”;根据“以服务为宗旨、以就业为导向”的指导思想,结合学院开展模块式一体化教学改革的实际情况;编写了“电子技术”模块教学大纲。 2、模块的性质和内容:“数字电子技术”模块是电子技术专业的专业基础课程。其任务是使学生具备从事本专业职业工种必须的电子通用技术基本知识、基本方法、基本技能,并为学生学习后续课程,提高全面素质,形成综合职业能力打下基础。“数字电子技术基础”模块是电子技术专业的先导模块。本模块教学项目有三个: 项目一:“三人表决器的逻辑电路设计与制作”;项目二:“一位十进制加法计算器电路设计与制作”项目三:“数字钟的电路设计与制作”。 3、模块的任务和要求:项目一“三人表决器的逻辑电路设计与制作”。主要任务是:学习逻辑代数、逻辑函数基本知识;常用逻辑门电路;组合逻辑门电路的设计方法。具体要求:掌握TTL、CMOS的识别与测试;掌握三人表决器逻辑电路的设计方法和制作技巧。项目二:“一位十进制加法计算器的逻辑电路设计与制作”。主要任务是:学习数制及其转换的基本知识;学习编码器、译码器、选示器的基本知识;学习半加器与全加器的基本知识。具体要求:理解编码器、译码器、驱动示器逻辑电路的工作原理;掌握一位十进制加法计算器的逻辑电路设计与制

作。项目三:“数字钟的设计与制作”。主要任务是:学习触发器的基本知识;学习分频电路的结构与工作原理;学习二十四进制、六十进制计数器的组成工作原理。具体要求:掌握集成触发器的测试;掌握555振荡器的制作与测试;掌握数字钟的安装与调试。 4、教学方法的应用:本模块采用项目教学法,每一项目安排多个任务,任务中有学习目标、工作任务、知识链接点、实验实习、技能训练、思考与练习。教师使用操作示范、演示讲解、多媒体等多种教学方法,学生在做中学、学中做,学会模仿、讨论、评析、竞争,激发学生的学习兴趣和热情,以提高教学效果。 5、模块的适用范围及对教师的要求:本模块适应应用电子技术专业、教学条件已在教学方案中说明。教师应具备电类本科毕业或电类中级以上职称。实训操作需一名辅助教师作准备。 6、建议选用教材或讲义及参考资料 主要教材:建议选用讲义 参考资料:《新编电子技术项目教程》李传珊主编 电子工业出版社 二、学时分配表

电工电子技术课程教学大纲

电工电子技术课程教学大纲

一、课程的地位、目的和任务 本课程地位:掌握实验室常用电工电子仪器的使用方法;掌握电子技术必要的基本理论、基本知识和基本技能;了解电子技术的应用和发展概况;为学习后续课程以及从事与本专业有关的工程技术等工作打下一定的基础。 本课程目的: 本课程包含两大方面的内容,即电工技术与电子技术,目的是培养学生掌握电工技木和电子技术的基础理论知识和实验技能,并在实验实月训基础上,能根据机电设备的运行要求,完成继电器-接触器控制电路的设计与调试。 本课程任务: 1.掌握电工技术领域中基本理论、基本知识和基本分析方法;初步掌握一般电路和电子电路的分析方法。 2.了解常用电子器件的作用和功能,并能正确使用 3.受到必要的实验技能训练,能使用最常用的电工电子仪表,能独立完成不太复杂的电工电子实验,养成严谨的科学作风。 4.了解电工电子技术领域中的新理论、新技术、新知识。 二、本课程与其它课程的联系 前修课程:高等数学、普通物理。一 后修课程:单片机原理与接口技术、机电传动与控制等。 三、教学内容及要求 教学要求: 第一章电路的基本概念和基本定律

第二章电路的稳态分析 教学要求: 通过支路电流法、结点电压法、叠加定理、戴维南 定理、诺顿定理的学习;深入理解正弦电压与电流;正 弦量的相量表示法;电阻、电容、电感、兀件的交流电 路;电阻、电感与电容串联的交流电路;复杂交流电路 的分析与计算;功率因数的提高;三相电源;负载星形 联接的三相电路;负载三角形联接的三相电路;三相电 路的功率。 一 重点:戴维南定理;正弦量的相量表示法;电阻、 电感与电容串联的交流电路;三相电源;三相电路的功 率。、 、亠、 亠 难点:电阻、电感与电容串联的交流电路;复杂交 流电路的分析与计算;负载星形联接的三相电路。 教学内容: 模型通过电路的基本概念及和电的作用与號部分姆路 律;电源有载工作、开路与短路;基尔霍夫定律;电路 律;电源有载工作、开路与短路;基尔霍夫定律; 中电位的概念及计算等 重点:欧姆定律;基尔霍夫定律;电路中电位的概 念及计算 难点:电路的基本定律、电路的基本分析方法。 教学内容: 概念 第一节电路的基本 (四) 路元件 电路的组成及其作用 电路模型 电路的基本物理量及其参考方向 电气设备的额定值及电路的工作状态 第二节基本理想电 (四) (五 ) 电阻元件 电容元件 电感兀件 电压源 电流源 第三节基尔霍夫定 基尔霍夫电流定律

数字电子技术教学大纲资料

一.本课程的教学目的、基本要求及其在教学计划中的地位: 数字电子技术基础课程是一门用以培养学生电子技术入门性质的技术基础课,本课程主要研究常用基本的半导体元器件的工作原理,基本的电子电路的原理和应用。通过课程的学习,使学生能够较好地掌握电子技术的基本理论、基本知识和基本分析问题的方法。其主要任务是培养学生: 1 .掌握电子技术课程的基本理论、基本知识和基本分析问题的方法。了解电子技术的新发展,新技术。 2 .正确掌握电子技术的课程内容,能够分析由几个单元电路组成的小电子电路系统。理论联系实际,具有创新精神。 3 .具有运用计算机分析和设计简单电子电路的能力,掌握用计算机分析电子电路的新方法。 4 .具有较强的实验能力,会使用常规的电子仪器,会通过实验安装调试电子电路,具有进行实验研究的初步能力。 5 .具有较强的查阅电子技术资料的能力和从网络上获取有关信息的能力。 数字电子技术基础课程是高等工科院校中电气信息类专业的一门必修课程,在教学过程中综合运用先修课程中所学到的有关知识与技能,结合各种实践教学环节,进行多种教学活动。为学生进一步学习有关专业课程和日后从事专业工作打下基础,因此本课程在后续课程中占有很重要的地位。 二.本课程的主要内容、各章节内容及其学时安排: 本课程的主要内容包括基本的半导体元器件、各种常用电子电路的工作原理和应用等内容。 第 1 章逻辑代数( 4 学时) 数字信号的特点、双值逻辑系统的概念。数字电路描述的数学工具——逻辑代数的运算定理和规则,以及逻辑函数的化简和变换等内容。 第 2 章集成逻辑门电路( 6 学时) TTL 和 COS 两大类型的逻辑门的工作原理、特性曲线和参数指标,对常用的几个系列逻辑门,以及集电极开路门和三态门作了较详细的讨论和比较。 第 3 章组合数字电路( 10 学时) 组合数字电路的分析和设计方法,译码器、编码器、数据选择器、比较器等常用组合数字电路的工作原理和应用。

微电子技术及其发展

微电子技术及其发展 1200240227 杨晓东21世纪是高新技术时代的高速发展时期,随着科技不断进步与创新,电子行业逐渐占据重要地位。科学家们逐渐发现了微电子行业的巨大作用。那么什么是微电子呢?微电子在现代化进程中有哪些应用呢?它对一些科技发展是否起着不可或缺的作用呢?我们国家对于微电子的发展到了哪一步呢?国家又采用了什么政策呢?微电子是否和我们大学生青年息息相关呢?带着这些疑问,我们一同去探讨。 首先,到底什么是微电子呢?微电子学是研究在固体(主要是半导体)材料上构成的微小型化电路、电路及系统的电子学分支。尽管只是作为电子学的分支学科,它主要研究电子或离子在固体材料中的运动规律及其应用,并利用它实现信号处理功能的科学,以实现电路的系统和集成为目的,实用性强。微电子学又是信息领域的重要基础学科,在这一领域上,微电子学是研究并实现信息获取、传输、存储、处理和输出的科学,是研究信息获取的科学,构成了信息科学的基石,其发展直接影响着整个信息技术的发展。微电子科学技术的发展水平和产业规模是一个国家经济实力的重要标志。微电子学是一门综合性很强的边缘学科,其中包括了半导体器件物理、集成电路工艺和集成电路及系统的设计、测试等多方面的内容;涉及了固体物理学、量子力学、热力学与统计物理学、材料科学、电子线路、信号处理、计算机辅助设计、测试和加工、图论、化学等多个领域。 可见微电子是一门极其复杂的电子科学。因为其广泛的应用,近年来在军事科技,通信及太空探索等方面得到迅速发展。微电子技术是高科技和信息产业的核心技术。微电子产业是基础性产业,之所以发展得如此之快,除了技术本身对国民经济的巨大贡献之外,还与它极强的渗透性有关。另外,现代战争将是以集成电路为关键技术、以电子战和信息战为特点的高技术战争。几乎所有的传统产业只要与微电子技术结合,用集成电路芯片进行智能改造,就会使传统产业重新焕发青春。例如微机控制的数控机床己不再是传统的机床;又如汽车的电子化导致汽车工业的革命,目前先进的现代化汽车,其电子装备已占其总成本的70%。进入信息化社会,集成电路成为武器的-个组成单元,于是电子战、智能武器应

《电工电子技术》教学大纲

《电工与电子技术》教学大纲 课程名称:电工电子技术课程类别:职业基础课 学时: 88 学分: 4.5 适用专业:机械类所有专业 先修课程:工程数学(含线代) 一、课程教学目标 《电工电子技术》是一门具有较强实践性的职业基础课程。通过本课程的学习,学生可以获得电工和电子技术的基本理论和基本技能。为学习后续课程和专业课打好基础,也为今后从事工程技术工作和科学研究奠定一定的理论基础。 课程的任务在于培养学生的科学思维能力,树立理论联系实际的工程观点,提高学生分析问题和解决问题的能力。 二、教学内容及基本要求 1、电路 (1)了解电路的作用和组成,电路的三种状态。 (2)了解电路主要物理量的定义。 (3)掌握电流、电压的参考方向。 2、电路的基本元件 (1)了解电阻、电感和电容元件的特性。 (2)掌握电源的两种模型及外特性。 3、电路的基本定律 (1)了解欧姆定律和基尔霍夫定律的主要内容。 (2)掌握用欧姆定律和基尔霍夫定律分析电路的方法。 4、电路的分析方法 (1)掌握用支路电流法,叠加原理,戴维南定理分析电路。 (2)学会运用电压源、电流源的互换方法。 5、正弦交流电路基础 (1)掌握正弦量的相量表示法。 (2)了解正弦量的三要素。 (3)掌握分析单一参数元件的交流电路。 6、正弦交流电路的分析方法 (1)了解谐振的基本概念以及RLC串联电路与并联电路的谐振条件和特点。 (2)掌握RLC串联电路中电压与电流的关系及功率的计算。 (3)掌握阻抗串、并联电路的分析、计算方法,提高功率因数的方法。 7、三相正弦交流电路 (1)了解了解三相交流电的产生。 (2)掌握三相交流电的表示方法。 (3)掌握负载两种连接形式的相、线电压,相、线电流的关系。

《模拟电子技术基础》教学大纲#

《模拟电子技术基础》教学大纲 Foundamentals of Analog Electronic Technology 、课程基本信息 二、课程教学目标 模拟电子技术是电类各专业的一门实践性较强的专业基础课。主要研究对象是半导体器件及其组成的各种基本单元电路和由基本单元电路组成的电子装置。单元电路包括分立元件单元电路和集成单元电路。 本课程的基本任务是使学生掌握模拟电子电路的基本工作原理、基本分析方法和基本应用技能使学生能够对各种由集成电路或(和)分立元件构成的基本电路单元进行分析和设计,并能够根据实际要求应用这些单元电路构成模拟电子系统的能力,为后续专业课程的学习奠定坚实的基础。课程内容先进,及时反映了本学科领域的最新科技成果。在课程教学中学生的能力培养始终将贯穿在整个教学过程中,通过学习该门课程使学生逐步提高获取知识的能力,逐步学会和掌握解决工程问题的思维 方法和研究方法。 三、教学基本要求 1器件方面: ①掌握常用的半导体器件的基本工作原理、特性和主要参数,并能合理选择和正确使用; ②了解模拟集成电路的电路结构和工作原理,掌握其主要性能和使用方法。 2、电路方面: ①掌握共射与共集放大器、差动放大器、基本运算放大器等电路结构、工作原理和性能; ②熟悉功率放大器、振荡器、整流器、稳压器以及由集成运算放大器组成的某些功能电路的组成、工作原理、性能和应用; ③熟悉放大器中的负反馈,振荡电路中的正反馈,掌握负反馈的基本形式及其对放大器性能的影响; ④了解阻容耦合放大器的频率响应。 3、分析方法方面 ①掌握放大电路的图解分析法,能确定放大电路的工作点,掌握微变等效电路分析法,能求放大 倍数、输入和输出电阻; ②能对放大电路单元进行近似估算。

《电子技术实践》课程教学大纲

《电子技术实践》课程教学大纲 一、课程基本情况 适用专业:高职高专电子、电信、电气、自动化 修课方式:必修 总学时数:40 考核方式:考查 教材:《电子技术实践与训练》廖先芸(高等教育出版社) 教学参考书: 叶致诚《电子技术基础实验》高等教育出版社 1995 孙梅生《电子技术课程设计》高等教育出版社 1995 陈有卿《新颖电子制作138例》人民邮电出版社 1998 钟长华《电子技术选修实验》清华大学出版社 1995 陈大钦《电子技术选修实验》(第2版) 高等教育出版社 2002 卢庆林《数字电子技术基础实验与综合训练》高等教育出版社 2002 二、课程的性质、任务和目的 本课程是电气、电子类专业的技术实践课之一,是实现理论与实践结合、培养学生智力技能的重要媒介。本课程的任务是:进行比较系统的电子技术实验实践能力和技能的培养,使学生具有较强的电子技术工程应用能力。 通过本课程的学习,在基本能力和基本技能方面应达到以下要求: 1.实验技能方面 (1)正确和熟练使用以下常用电子仪器仪表; 电压表、电流表、万用表 示波器、信号发生器、直流稳压电源 (2)掌握以下基本电量的测量和测试方法: 电压和电流的有效值和峰值 正弦交流电压信号的峰值、频率 多谐振荡信号的峰值、频率和占空比 2.在工程应用能力方面 (1)对基本和常用元、器件的识别和选择应用:

能熟练地识别色环电阻的阻值、精度、功率; 能熟练地根据标识判别电容的容量、极性、耐压; 能用万用表判别二极管、三极管的好坏、极性等; 能根据管脚图正确应用线性集成电路、常用数字集成电路; 能正确使用逻辑功能相同的TTL电路和CMOS电路。 (2)对基本电路的结构和应用: 熟悉三极管组成的基本放大电路结构,掌握静态工作点是调试方法、电压放大倍数、输入和输出电阻、频率特性的测试方法; 熟悉开关电路的结构,掌握开关状态的调试方法; 掌握普通及发光二极管的限流电阻、三极管基极电阻的计算和选择方法; 熟悉几种常用多谐振荡器的电路形式。 (3)查阅电子器件手册并根据技术要求选用合适元件的能力。 (4)借助辅助资料,读懂一般的电子线路原理图的能力。 (5)独立组装中、小电子系统的能力;分析、寻找和排除应用电路中常见故障的初步能力。 (6)独立写出有理论分析的、实事求是的、文理通顺的、字迹端正的实验报告。 三、课程的主要内容与学时分配 实验方法和技能 4 仪器及元器件基本知识2 电子电路的故障分析与排除2 验证性实验 8 基本放大电路 2 RC正弦波振荡电路2 三端集成稳压器 2 集成门电路特性2 应用性实验选题参考* 26 课题一电平指示电路2 课题二光控开关和报警电路2 课题三红外线光电开关电路2 课题四有线对讲机电路2

数字集成电路教学大纲

《数字集成电路》课程教学大纲 课程代码:060341001 课程英文名称:digital integrated circuits 课程总学时:48 讲课:44 实验:4 上机:0 适用专业:电子科学与技术 大纲编写(修订)时间:2017.05 一、大纲使用说明 (一)课程的地位及教学目标 数字集成电路是为电子科学与技术专业开设的学位课,该课程为必修专业课。课程主要讲授CMOS数字集成电路基本单元的结构、电气特性、时序和功耗特性,以及数字集成电路的设计与验证方法、EDA前端流程等。在讲授基本理论的同时,重在培养学生的设计思维以及解决实际问题的能力。通过本课程的学习,学生将达到以下要求: 1.掌握CMOS工艺下数字集成电路基本单元的功能、结构、特性; 2.掌握基于HDL设计建模与仿真、逻辑综合、时序分析;熟悉Spice模型; 3.具备将自然语言描述的问题转换为逻辑描述的能力; 4. 具有解决实际应用问题的能力。 (二)知识、能力及技能方面的基本要求 1.基本知识:CMOS数字集成电路设计方法与流程;CMOS逻辑器件的静态、动态特性和Spice 模型;数字集成电路的时序以及互连线问题;半导体存储器的种类与性能;数字集成电路低功耗解决方法以及输入输出电路;数字集成电路的仿真与逻辑综合。 2.基本理论和方法:在掌握静态和动态CMOS逻辑器件特性基础上,理解CMOS数字集成电路的特性和工作原理;掌握真值表、流程图/状态机、时序图的分析方法和逻辑设计的基本思想。 3.基本技能:掌握器件与系统的建模仿真方法;具备逻辑描述、逻辑与时序电路设计能力;熟悉电路验证与综合软件工具。 (三)实施说明 1.教学方法:课堂讲授中要重点对基础概念、基本方法和设计思路的讲解;采用启发式教学,培养学生思考问题、分析问题和解决问题的能力;引导和鼓励学生通过实践和自学获取知识,培养学生的自学能力;增加习题和讨论课,并在一定范围内学生讲解,调动学生学习的主观能动性;注意培养学生提高利用网络资源、参照设计规范及芯片手册等技术资料的能力。讲课要联系实际并注重培养学生的创新能力。 2.教学手段:本课程属于技术基础课,在教学中采用电子教案、CAI课件及多媒体教学系统等先进教学手段,以确保在有限的学时内,全面、高质量地完成课程教学任务。 3.计算机辅助设计:要求学生采用电路建模语言(SPICE/HDL)和仿真模拟工具软件进行电路分析与设计验证;采用逻辑综合工具软件进行电路综合;采用时序分析工具进行时序验证。(四)对先修课的要求 本课程主要的先修课程有:大学物理、电路、线性电子线路、脉冲与逻辑电路、EDA技术与FPGA应用、微机原理及应用,以及相关的课程实验、课程设计。 (五)对习题课、实践环节的要求 1.对重点、难点章节(如:MOS反相器静态特性/开关特性和体效应、组合与时序MOS电路、动态逻辑电路、数字集成电路建模与仿真验证、数字集成电路逻辑综合)应安排习题课,例题的选择以培养学生消化和巩固所学知识,用以解决实际问题为目的。 2.课后作业要少而精,内容要多样化,作业题内容必须包括基本概念、基本理论及分析设

电子技术教学大纲

一、课程性质与任务 本课程是中等职业学校电类专业的一门基础课程。其任务是:使学生掌握电子信息类、电气电力类等专业必备的电子技术基础知识和基本技能,具备分析和解决生产生活中一般电子问题的能力,具备学习后续电类专业技能课程的能力;对学生进行职业意识培养和职业道德教育,提高学生的综合素质与职业能力,增强学生适应职业变化的能力,为学生职业生涯的发展奠定基础。 二、课程教学目标 使学生初步具备查阅电子元器件手册并合理选用元器件的能力;会使用常用电子仪器仪表;了解电子技术基本单元电路的组成、工作原理及典型应用;初步具备识读电路图、简单电路印制板和分析常见电子电路的能力;具备制作和调试常用电子电路及排除简单故障的能力;掌握电子技能实训,安全操作规范。 结合生产生活实际,了解电子技术的认知方法,培养学习兴趣,形成正确的学习方法,有一定的自主学习能力;通过参加电子实践活动,培养运用电子技术知识和工程应用方法解决生产生活中相关实际电子问题的能力;强化安全生产、节能环保和产品质量等职业意识,养成良好的工作方法、工作作风和职业道德。 三、教学内容结构 教学内容由基础模块和选学模块两部分组成。 1. 基础模块是各专业学生必修的基础性内容和应该达到的基本要求,教学时数为84学时。 2. 选学模块是适应不同专业需要,以及不同地域、学校的差异,满足学生个性发展的选学内容,选定后即为该专业的必修内容,教学时数不少于12学时。 3. 课程总学时数不少于96学时。 四、教学内容与要求 基础模块 第一部分模拟电子技术 教学单元教学内容教学要求与建议 二极管及其应用二极管的特性、结构与分类通过实验或演示,了解二极管的单向导电性; 了解二极管的结构、电路符号、引脚、伏安特性、主要参数,能在实践中合理使用二极管;了解硅稳压管、发光二极管、光电二极管、变容二极管等特殊二极管的外形特征、功能和实际应用; 能用万用表判别二极管的极性和质量优劣 整流电路及应用通过示波器观察整流电路输出电压的波形,了解整流电路的作用及工作原理; 能从实际电路图中识读整流电路,通过估算,会合理选用整流电路元件的参数; 通过查阅资料,能列举整流电路在电子技术领域的应用; 搭接由整流桥组成的应用电路,会使用整流桥 滤波电路的类型和应用能识读电容滤波、电感滤波、复式滤波电路图; 通过查阅资料,了解滤波电路的应用实例; 通过示波器观察滤波电路的输出电压波形,了解滤波电路的作用及其工作原理;

《数字逻辑电路》教学大纲

《数字逻辑电路》教学大纲 开课系:信息工程系 适用专业及学生层次:初中起点 学时:112课时 先修课:电工基础、电子电路 后续课:微机原理、现代移动通信、程控交换技术 推荐教材及参考教材:《数字逻辑电路》 编写人:XXX 一、说明 1、课程的性质和内容 本门课程是通讯专业通用教材。主要内容包括数字电路基础,逻辑门电路,组合逻辑电路,触发器,时序逻辑电路,脉冲信号的产生与整形,数模和模数转换,数字集成电路应用以及有关实验等。 2、课程的任务和要求 第一,以能力为本位,重视实践能力的培养,突出职业技术教育特色。 第二,吸收和借鉴各地教学改革的成功经验,专业课教材的编写采用了理论知识与技能训练一体化的模式。 第三,更新教材内容,使之具有时代特征。 第四,贯彻国家关于职业资格证书与学业证书并重,职业资格证书制度与国家就业制度相衔接的政策精神,力求教材内容涵盖有关国家职业标准的知识、技能要求,确实保证毕业生达到中级技能人才的培养目标。 3、教学中应注意的问题 第一,根据企业的需要,确定学生应具备的能力结构和知识结构。 第二,教学中应时刻充实新知识、新技术、新设备和新材料。 第三,注意理论与实际结合。

二、学时分配表

三、课程内容与教学要求 第一章数字电路基础 教学要求 (1)掌握数字电路的特点 (2)明确各进制间的转换规则 (3)掌握基本的逻辑运算 (4)熟悉基本逻辑公式和逻辑定理 (5)掌握逻辑函数化简方法 (6)熟悉逻辑函数的各种表示方法以及相互转换的方法 (7)掌握半导体开关特性 教学内容 (1)掌握模拟电路和数字电路的各自特点以及它们的区别 (2)明确二进制、八进制、十六进制和十进制的表示方法以及转换时的不同规则 (3)掌握与、或、非三个最基本逻辑运算的逻辑符号、真值表及逻辑功能。(4)熟悉掌握逻辑电路中的运算律和等式的三个规则,了解异或运算的公式(5)熟悉利用逻辑运算规则及各种定律化简逻辑函数——即公式化简法,了解什么是卡诺图,熟练掌握逻辑函数卡诺图化简法。 (6)熟悉逻辑函数的表达式、卡诺图、真值表、波形图、逻辑图的转换方法。(7)掌握半导体二级管的单向导电性,掌握三极管的工作要求,工作在饱和、放大和截止区域的条件要求。 教学建议: 本章是数字逻辑电路的基础,与今后的学习内容紧密联系,学生应熟练掌握。 第二章逻辑门电路 教学要求 (1)掌握分立元件门电路的基本组成 (2)熟悉TTL集成门电路的特点 (3)熟悉CMOS集成门电路的常用门 (4)明确门电路的应用 教学内容 (1)掌握与、或、非门的各自特点和功能,熟悉组合后的复合门电路的特点

你该知道的微电子技术知识

你该知道的微电子技术知识 二大爷公司笨笨收集 微电子技术是十九世纪末,二十世纪初开始发展起来的以半导体集成电路为核心的高新电子技术,它在二十世纪迅速发展,成为近代科技的一门重要学科。微电子技术作为电子信息产业的基础和心脏,对航天航空技术、遥测传感技术、通讯技术、计算机技术、网络技术及家用电器产业的发展产生直接而深远的影响。尤其是微电子技术是军用高技术的核心和基础。军用高技术的迅猛发展,武器装备的巨大变革,在某种意义来说就是微电子技术迅猛发展和广泛应用的结果。微电子技术的渗透性最强,对国民经济和现代科学技术发展起着巨大的推动作用,其发展水平和发展规模已成为衡量一个国家军事、经济实力和技术进步的重要标志。正因为如此、世界各国都把微电子技术作为最要害的技术列在高技术的首位,使其成为争夺技术优势的最重要的领域。 一、基本概念 简介:微电子技术是随着集成电路,尤其是超大规模集成电路而发展起来的一门新的技术。它包括系统电路设计、器件物理、工艺技术、材料制备、自动测试以及封装、组装等一系列专门的技术,是微电子学中的各项工艺技术的总和。微电子技术是在电子电路和系统的超小型化和微型化过程中逐渐形成和发展起来的,其核心是集成电路,即通过一定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互联,采用微细加工工艺,集成在一块半导体单晶片(如硅和砷化镓)上,并封装在一个外壳内,执行特定电路或系统功能。与传统电子技术相比,其主要特征是器件和电路的微小型化。它把电路系统设计和制造工艺精密结合起来,适合进行大规模的批量生产,因而成本低,可靠性高。

图1 微电子技术中元器件发展演变 特点:微电子技术当前发展的一个鲜明特点就是:系统级芯片(System On Chip,简称SOC)概念的出现。在集成电路(IC)发展初期,电路都从器件的物理版图设计入手,后来出现了IC单元库,使用IC设计从器件级进入到逻辑级,这样的设计思路使大批电路和逻辑设计师可以直接参与IC设计,极大的推动了IC产业的发展。由于IC设计与工艺技术水平不断提高,集成电路规模越来越大,复杂程度越来越高,已经可以将整个系统集成为一个芯片。正是在需求牵引和技术推动的双重作用下,出现了将整个系统集成在一个IC芯片上的系统级芯片的概念。其进一步发展,可以将各种物理的、化学的和生物的敏感器(执行信息获取功能)和执行器与信息处理系统集成在一起,从而完成从信息获取、处理、存储、传输到执行的系统功能,这是一个更广义上的系统集成芯片。很多研究表明,与由IC组成的系统相比,由于SOC设计能够综合并全盘考虑整个系统的各种情况,可以在同样的工艺技术条件下实现更高性能的系统指标。微电子技术从IC 向SOC转变不仅是一种概念上的突破,同时也是信息技术发展的必然结果。目前,SOC技术已经崭露头角,21世纪将是SOC技术真正快速发展的时期。 微电子技术的另一个显着特点就是其强大的生命力,它源于可以低成本、大批量地生产出具有高可靠性和高精度的微电子结构模块。这种技术一旦与其他学科相结合,便会诞生出一系列崭新的学科和重大的经济增长点。作为与微电子技术成功结合的典型例子便是MEMS(微电子机械系统或称微机电系统)技术和生物芯片等。前者是微电子技术与机械、光学等领域结合而诞生的,后者则是与生物工程技术结合的产物。 应用领域:

(完整word版)汽车电子技术教学大纲2018版

黄淮学院《汽车电子控制系统》课程教案大纲 一、课程编码及课程名称 课程编码:3321201814 课程名称:汽车电子控制系统

电子技术教学大纲

《电子技术》教学大纲 第一部分:模拟部分 一、课程基本信息: (一)课程名称:电子技术(模拟部分)课程教学大纲 (二)课程类别: 专业必修课 (三)学时:78学时,其中理论70学时,实验8学时 (四)学分:6 (五)开设学期及周学时分配:第3学期,周6 二、课程的性质和任务: 本课程是电类各专业的一门重要的专业技术基础课之一,主要研究半导体器件、放大电路及信号的产生处理,是理论和实践紧密结合的应用性很强的一门课程。 本课程的任务是:使学生获得模拟电子技术方面的基本理论、基本知识和基本技能,着重培养学生分析问题解决问题及实践应用的能力。为学习其他有关课程和毕业后从事电子电气工程、自动化及计算机应用方面的工作打下必要的基础 三、课程教学目标: (一)知识目标 通过理论教学,使学生掌握模拟电子电路基础知识,各种基本电路的组成、工作原理、性能特点及主要参数。掌握常用模拟电路的分析和设计方法。 (二)能力目标 通过理论学习和实践教学,使学生具有使用常用电子仪器仪表的能力,具有查阅电子元器件手册并合理选用元器件的能力,阅读和应用常见模拟电路的能力,测试常用模拟电路功能,排除简单故障的能力。 四、教学内容和要求 (一)基础模块 课题一半导体基本知识及其基本应用 教学内容 a)半导体基本知识 b)PN结及其特性 c)半导体二极管结构、特性及其基本应用 d)特殊半导体二极管 教学要求 1.掌握半导体二极管的单向导电作用、正偏和反偏,稳压管的稳压作用,整流滤波等基本概念 2.掌握普通二极管和稳压管的外特性 3.掌握单向桥式整流滤波电路输出直流电压和输入交流电压有效值的关系 4.熟悉普通二极管和稳压管的主要参数,使用方法,注意事项,选用原则;发光二极管和光电二极管的性能、使用方法

数字电子技术教学大纲

《数字电子技术》教学大纲 (课程编号2932120 ) (学分 4 学时51 ) 第一部分说明 一、课程的性质与任务 《数字电子技术》是物理教育专业、应用物理专业、教育技术专业的必修技术基础课,该课程不仅具有自身的理论体系而且是一门实践性很强的课程。本课程的任务是使学生掌握数字电子电路的基本工作原理、分析方法和基本实验技能。为深入学习后续课程和从事有关电子技术方面的实际工作打下基础。 二、课程的教学基本要求 1、数字电子技术是发展很快的学科,但其基本理论已经形成了相对稳定的体系,教学上要按照基础知识储量和学科发展增量统筹考虑的原则构建教学内容体系,教学重点放在学习、掌握基本概念、基本分析和设计方法上,并及时跟踪电子技术学科前沿的进展,为学生学习、掌握现代科学技术打下坚实的基础。 2、数字电子技术是实践性很强的技术基础课,在教学安排上将实验课作为课程内实验环节,理论课和实验课同步进行,使理论实际的联系更加密切。综合培养学生看电路图能力、电路分析计算能力、选择设计方案和器件能力、电路设计及调试能力。 三、与其它课程的关系 先修课程为《电磁学》、《模拟电子技术》,本课程为学习后续课程《微机原理与应用》打下必要的基础。 四、课程的教学要求层次 课程教学要求分掌握、理解、了解三个层次。 1. 掌握:要求学生能够深刻理解所学的内容并能够灵活运用。 2. 理解:要求学生能够较好地理解所学内容。 3. 了解:要求学生能够知道所学的有关内容。 五、教学环节设计方案 (一)、学时分配 课程总学时85学时,其中理论课51学时,实验课34学时。学时分配如下:

* 实验课学时分配,见数字电子技术实验教学大纲。 (二)、教学方法 1、主讲式教学方法 基础知识以教师讲授为主,采用主讲式教学方法,目的是引导学生正确认识、理解、掌握课程的基础知识,教会学生分析、研究数字电子电路的方法。 2、讨论式教学方法 拓宽性后续知识、部分习题采用讨论式教学方法,目的是激励学生自主学习,培养学生知识综合、知识应用、知识迁移提高的能力,知识传授和知识能力培养并重。 3、研究式教学方法 提高性知识,采用研究式教学方法,目的是激励和培养学生自学能力、研究问题的能力。 4、答疑、激疑质疑式教学方法 在辅导、答疑的辅助教学环节中,采用答疑的方法解决学生学习过程中的疑难问题,又针对某些问题逐步深入地提问,向学生质疑、激疑,以激发学生对所学知识进行深入探索,牢固掌握知识体系、内在联系,从而提高了学习质量、学习效果。 5、实验环节采用学生预习、审查方案、仿真模拟、讲解示范、指导操作、独立操作等教学方法。 (三)、考试考核方式 教学过程是知识消化、理解和升华的过程,各个教学环节之间是相互影响、相互依存的,各个环节的统一协调,齐抓并重是提高教学质量的根本保证,也是培养学生自主学习能力的必要措施。因此,在教学过程中对学生的学习过程实施全程考核:理论课将完成作业、答疑质疑、习题研究、专题研讨、阶段考核考核情况量化成分值作为本课程的平时成绩。

《电工电子技术》教学大纲

《电工电子技术》教学大纲 一、课程的性质与任务 1、课程的性质:《电工电子技术》是高职专科层次工科专业学生必修的公共基础课。 2、课程的任务:通过本课程的学习,学生应从使用的角度能够掌握电路基础知识,了解电路的基本概念,掌握电路分析的基本使用方法,为本专业的相关专业课程的学习打下基础。 二、教学基本要求 通过本课程的学习,学生应掌握电路中的相关基本概念、电路分析的基本知识及分析方法。具体内容有: 1、熟练掌握电路中的基础概念; 2、掌握电路分析的基本方法及相关计算; 3、掌握电动机的工作原理及实际运用; 4、电工仪表的运用; 5、电子电路的原理及分析方法。 三、教学条件 1、理论教学在教室进行; 2、实践教学在电工实验室进行 四、教学内容及学时安排

五、教法说明 本课程要实现教、学、做相结合,采用电路实例和理论教学相结合,以能力培养为中心和出发点,在教学的过程中,注重发挥学生的主观能动性,精讲多练,启发学生思考,培养学生分析问题的能力和实际的操作能力。让学生针对上课使用的实例进行分析和讨论,加强学习效果。注重理论和实际的联系。

六、考核方式及评分办法 本课程考核采用平时成绩和测验相结合的方法,其中平时成绩主要包括出勤、课后作业提交和课堂提问三个部分,平时考核着重于基本概念和方法的掌握,通过平时作业和课堂提问考核学生对知识的理解和掌握。平时成绩占总成绩的30%。本课程采用闭卷形式考试,主要考察学生对电工电子电路基础知识、电路分析方法相关知识的考核,期末成绩占总成绩的70%。 七、教材与参考书 1、教材:《电工电子技术》林平勇髙嵩编高等教育出版社2004年第二版 2、主要参考书: (1)《计算机应用基础上机操作》齐向东主编科学出版社2002年(2)《计算机应用基础》管会生编人民邮电出版社2002年(3)《计算机应用基础》彭宣戈编北京航空航天大学出版社2004年

《数字电子技术课程设计》教学大纲

教学大纲 课程名称数字电子技术课程设计课程负责人 开课系部机电工程系 教研室电气自动化 二0一四年四月一日

《数字电子技术课程设计》教学大纲 一、课程基本信息 课程编号: 课程名称:数字电子技术课程设计 英文名称:A Course Design on Digital Electronic Technology 适用专业:电气工程及其自动化类专业 先修课程:电路原理、模拟电子技术、数字电子技术 课程性质:专业基础课 设计周数:1周 学分:1分 二、课程设计的性质、目的和任务 数字电子技术课程设计是电路分析、模拟电子技术、数字电子技术等课程之后的一门理论与实践相结合的综合设计性课程,目的在于提高和增强学生对电子技术知识的综合分析与应用能力。这对于提高学生的电子工程素质和科学实验能力非常重要,是电子技术人才培养成长的必由之路。数字电子技术课程设计应达到以下目的: (1)加深对所学理论知识的理解,并能将其熟练应用,做到理论与实际相结合; (2)学会查寻资料、方案比较,以及设计计算及制作调试等环节,进一步提高分析解决实际问题的能力; (3)要求学生根据技术指标进行理论设计,并制作调试完成,培养学生分析问题、解决问题的实践能力。 对本次课程设计,原则上指导老师只给出大致的设计要求,在设计思路上不框定和约束同学们的思维,所以同学们可以发挥自己的创造性,并力求设计方案凝练可行、思路独特、效果良好。 三、课程设计的内容 以《电路分析》、《模拟电子技术》和《数字电子技术》等课程中所涉及到的电阻、电容、电感元件、无源滤波电路、变压器、二极管、三极管、场效应管及

基本放大电路、功率放大电路、集成运算放大电路、信号发生器、直流电源、门电路及触发器、小规模集成电路SSI、中规模集成电路MSI为基础,两人一组分工协作、独立设计具有可靠性高及功能明确的实际应用价值的电子电路,最后编写课程设计总结报告。设计内容可参考设计题目,也可根据自身情况自己拟定。 参考题目如下: 1.数字电子钟逻辑电路设计:设计一个多功能数字钟,要求能准确计时并以数字形式显示时、分、秒的时间,能校正时间;(如准点报时、定时闹钟等)2.智力竞赛抢答器逻辑电路设计:设计一个可供四组参赛的数字式竞赛抢答器,每组设置一个抢答按钮,要求具有第一抢答信号的鉴别和锁存功能,具有计分及计时功能,设置犯规报警电路。(电路具有鉴别和锁存功能,用数码管显示第一抢答组别且该组别对应指示灯亮,电路的自锁功能,使其余抢答开关不起作用;有主持人开关、有复位功能;增加部分扩展功能(如抢答计时及加分、减分电路等) 3.交通信号灯控制器逻辑电路设计:满足绿灯30秒,黄灯5秒,红灯35秒的时序。采用两位数码显示器显示南北方向时间。 4.汽车尾灯控制电路设计:转向侧的3灯应按全灭、1灯亮、2灯亮、3灯亮得顺序动作,周期性明亮与暗,一周约需一秒;当紧急闪烁起作用时,六个尾灯大约以1Hz的频率一致地闪烁着亮与暗;制动时,若转弯开关未合上(或错误地将两个开关均合上的情况)所有六个尾灯均连续燃亮。 5.数字温度计逻辑电路设计:设计一个可以测量温度范围0-800C的数字式温度计,精度± 10C。 6.多路防盗报警电路设计:采用多路输入、同一报警输出方式实现,输入端带延时触发功能,具有显示报警地点功能。 7.电梯控制电路设计:设计一个简易4层电梯控制电路,能记忆电梯内、外的所有请求信号,并按照电梯运行规则按顺序响应,每个信号保留至执行后消失。 8.倒计时计时器的设计:最长记时时间为999秒,有三位数码管显示记数状态。 9.洗衣机控制电路设计: 设计一个洗衣机控制器,具有如下功能:

电子技术基础教学大纲.doc

《电子技术基础》教学大纲 一、说明 1.课程的性质和内容 本课程是一门传授电子技术基础知识的专业课程。主要教学内容包括:介绍半导体器件的结构、工作原理和功能等,进而说明各种基本电路的应用范围、效率和形式。重点介绍常用基本器件、整流、滤波、稳压、放大电路等,同时介绍集成运算放大电路和数字电路基础。 2.课程的任务和要求 本课程的任务是对学生进行电子技术基础的教育,为学习专业课和实际工作提供必要的基础理论知识。 通过本课程的学习,学生应达到下列基本要求: (1)了解二极管、三极管、晶闸管、集成运算放大器等主要参数及应用。 (2)了解组合逻辑电路和时序逻辑电路的工作原理和应用。 (3)理解放大电路、整流、滤波、稳压电路的基本组成、工作原理、分析方法。 (4)掌握常用器件的识别和简单测试。 (5)掌握单级小信号低频电压放大电路的组成、工作原理和分析方法。 (6)掌握整流电路的输出输入电压之间的关系。 (7)掌握本门电路的符号及逻辑功能、基本触发器的符号及逻辑功能 3.教学中应注意的问题 (1)注意和电力拖动控制线路与技能训练、维修电工技能训练等技能训练课程的衔接,加强课堂教学中的实践环节,充分发挥模型、实物、示教板、多媒体电化教学手段的作用,激发 学生的兴趣和想象力;注意因材施教,对部分内容的应用实例可适当取舍,充分提高课 堂教学的效果。 (2)在教学叙述时,注意前后呼应和一致性。例如,在介绍器件时,可依结构、原理、特性、主要参数、具体应用这一思路进行;讲放大电路时,可将典型电路讲清讲透,然后再延伸到一般电路、实用电路。以典型带动一般;对整流电路,注意二极管整流和晶闸管整流的对比,突出其区别,以加深印象;对集成运算放大器和数字电路,强调其外部特性和功能。教师备课时适当参阅相关参考书,吃透教材。

《电工电子技术》课程教学大纲

《电工电子技术》课程教学大纲 一.课程基本信息 开课单位:电子信息学院电子工程系电工电子教研室 课程编号:03040089b 英文名称:Electrotechnics and Electronics 学时:总计48学时,其中理论授课48学时,实验(含上机)0学时 学分:3.0学分 面向对象:物流管理、应用物理学、生物工程等本科专业 先修课程:高等数学、大学物理 教材:《电路与电子技术》(电工学Ⅰ),朱伟兴主编,高等教育出版社,2008年六月第一版 主要教学参考书目或资料: 1.《电工学》(第六版)上册电工技术、《电工学》(第六版)下册电子技术,秦曾煌主编, 高等教育出版社,2003年12月第六版 2.《电工学(第六版)学习辅导与习题选解》,秦曾煌主编,高等教育出版社 3.《电工学(第六版)习题全解(上下册)》,姜三勇主编,高等教育出版社 二.教学目的和任务 《电工电子技术》是面向高等工科学校非电类专业开设的一门技术基础课程。目前,电工电子技术应用十分广泛,发展迅速,并且日益渗透到其他学科领域,促进其发展,在我国社会主义现代化建设中具有重要的作用。本课程的教学目的和任务是:使学生通过本课程的学习,获得电工电子技术必要的基本理论、基本知识和基本技能,了解电工电子技术的应用和我国电工电子技术发展的概况,为今后学习后续课程以及从事与本专业有关的工程技术工作和科学研究工作打下一定的基础。本课程理论严谨,系统性、逻辑性强,对培养学生的辨证思维能力,树立理论联系实际的科学观点和提高学生分析问题、解决问题的能力有着重要的作用,是培养复合型人才的重要组成部分。 三.教学目标与要求 本门课程通过不同的教学方法和教学手段,使学生掌握电路理论、安全用电、模拟电子技术、数字电子技术、EDA技术等电工技术领域中的基本理论、基本知识;初步掌握一般电路和电子电路的分析方法;了解常用电子器件的作用和功能;了解电工电子技术领域中的新理论、新技术、新知识。 四.教学内容、学时分配及其基本要求 第一章电路的基本概念与定律(5学时。含讲授5学时) (一)教学内容 1.实际电路与电路模型 2.电路中常用的物理量 3.电阻、电容和电感元件 4.电源 5.电路的工作状态 6.基尔霍夫定律

相关文档
相关文档 最新文档