文档库 最新最全的文档下载
当前位置:文档库 › 简易彩灯控制器电路

简易彩灯控制器电路

简易彩灯控制器电路
简易彩灯控制器电路

郑州轻工业学院

电子电路课程设计

题目:简易彩灯控制器电路

学生姓名:

专业班级:

学号:

院(系):

指导教师:

完成时间:

郑州轻工业学院

课程设计(论文)任务书

题目简易彩灯控制器电路

专业学号姓名

主要内容、基本要求、主要参考资料等:

主要内容

1.阅读相关科技文献。

2.学习protel软件的使用。

3.学会整理和总结设计文档报告。

4学习如何查找器件手册及相关参数。

技术要求

1.要求电路能够控制8个以上的彩灯。

2.要求彩灯组成四种以上的花形,每种花形连续循环两次,各种花形轮流显示。

主要参考资料

1.何小艇,电子系统设计,浙江大学出版社,2001年6月

2.姚福安,电子电路设计与实践,山东科学技术出版社,2001年10月

3.王澄非,电路与数字逻辑设计实践,东南大学出版社,1999年10月

4.李银华,电子线路设计指导,北京航空航天大学出版社,2005年6月

5.康华光,电子技术基础,高教出版社,2003年

完成期限:

指导教师签章:

专业负责人签章:

20 年月日

简易彩灯控制器电路

摘要

彩灯控制器是用来使彩灯按照一定的形式和规律闪亮,起到烘托节日氛围、吸引公众注意力的作用,它是一种很好的照明娱乐工具,应用前景较为广泛。本设计就是采用电子元件制作的一个简易的具有四种变换花型的彩灯控制器。

本电路系统由四部分组成,分别是:

(1)时钟振荡电路,555定时器构成多谐振荡器;

(2)分频电路,由四位二进制计数器 74LS161组成,为D触发器提供时钟;

(3)状态机电路,由双 D 触发器组成;

(4)移位显示电路,由双向移位寄存器 74194 和发光二极管组成,实现花型显示。

彩灯控制器实现方法多种多样,本次设计的这台彩灯控制器主要功能有:(1)可以控制8个以上的彩灯;

(2)可以组成四种以上花型,并且每种花型能够连续循环两次,各种花型轮流显示。

本电路基于74系列简单逻辑门电路的组合,实现简易电子彩灯控制器电路,具有电路设计简单,成本低廉的特点。

关键词:彩灯,十六计数器,时钟电路,二进制计数,四种码

目录

摘要................................................ II 1 设计要求 (4)

1.1 设计课题 (4)

1.2 基本要求 (4)

2 系统组成及工作原理 (4)

2.1 系统组成框图 (4)

2.2 工作原理分析 (4)

3 电路方案设计 (5)

3.1 电路图设计 (5)

4 单元电路设计 (5)

4.1 时钟脉冲产生电路 (5)

4.2 分频电路的工作原理 (6)

4.3 状态机电路 (7)

4.4 移位输出电路 (7)

5 心得体会 (8)

参考文献 (9)

附录 (10)

附录1:元器件清单 (10)

附录2:输出状态编码 (11)

附录3:元件引脚图 (12)

附录4:元件功能表 (13)

附录5:原理图 (14)

1 设计要求

1.1 设计课题

题目:简易彩灯控制器电路

1.2 基本要求

(一)要求电路能够控制8个以上的彩灯。

(二)要求彩灯组成四种以上的花形,每种花形连续循环两次,各种花形轮

流显示。

2 系统组成及工作原理

2.1 系统组成框图

把四花型彩灯设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。系统可由四个模块组成,它们分别是:时钟产生电路、分频电路、移位显示电路、状态机电路。设计框图如图2.1所示:

图2-1 设计框图

第一部分,由555定时器构成时钟振荡电路,产生固定频率的脉冲;第二部分,由四位二进制计数器 74LS161 组成分频电路,为D 触发器提供时钟,为状态机提供时钟;第三部分,采用双D 触发器 74LS74 组成状态机电路,实现四种花样的轮流显示;第四部分,采用双向移位寄存器74LS194 组成移位输出电路。

2.2 工作原理分析

时 钟 振 荡 电 路

分 频 电 路

显示电路

移位输出电路 状态机电路

由555定时器构成的时钟振荡电路产生固定频率的脉冲,一方面作用于由74161组成的分频电路,一方面作用于由74F194构成的移位输出电路,为他们提供时钟信号。由于74161是16分频计数器,故每十六个脉冲74LS161进位一次,致使触发器U1A翻转一次,而触发器U2A的3脚连接的是触发器U1A的5脚,实现了U1A的16分频和U2A的32分频。所以平均U1A翻转两次而U2A翻转一次。集成移位寄存器74194由个RS触发器及他们的输入控制电路组成,其中S1和

S0是两个控制输入端。双D触发器的输出端改变S0,S1的值,实现左右移动控制。可组成U1A左移,U2A右移;U1A右移,U2A右移;U1A左移,U2A左移;U1A 右移,U2A左移四种花型。每十六个脉冲每种花型恰好循环两次,而此时D触发器翻转,转换为下一种花型。

3 电路方案设计

3.1 电路图设计

将各单元电路组织起来就得到了系统电路图,如附录F所示。本电路图设计简单、结构清晰,可分为时钟产生电路、分频电路、移位显示电路、状态机电路。555定时器构成时钟电路,由四位二进制计数器 74LS161组成分频电路,由双向移位寄存器 74194 和发光二极管组成移位显示电路,双D触发器构成状态机电路。

4 单元电路设计

4.1 时钟脉冲产生电路

用555定时器构成多谐振荡器,电路输出便得到一个周期性的矩形脉冲。电路图如图4.1所示:

图4-1由555定时器构成的多谢振荡器

接通电源后,电容C5被充电,当2管脚处的电压上升到2Vcc/3时,使Vo 为低电平,同时放电三极管T导通,此时电容C5通过R2和T放电,Vc下降。当Vc下降掉Vcc/3时,Vo翻转为高电平。振荡器的振荡频率为

1.43/(R1+R2+R2)C5。

4.2 分频电路的工作原理

图4-2 74LS161引脚图

74LS161是4位二进制同步加计时器。其中1脚是异步清零端,9脚是预置控制端,P0,P1,P2,P3是预置数据输入端,RCO是预置数据输入端,7和10脚是计数控制端。(1)异步清零:当1脚接低电平时,不管其他输入的状态如何,计数器直接清零。(2)同步并行预置数;在1脚接高电平的条件下,当9脚接低电平且有时钟脉冲时P0,P1,P2,P3输入端的数据分别被Q0,Q1,Q2,Q3所接收。(3)保持:1和9脚同时接高电平,两个记数使能端有一个接低电平时,不管有无脉冲,记数器都保持原状态不变。(4)记数:当1,7,9,10管脚都接高电平时,计数器处于记数状态。当时钟电路产生16个脉冲时,计数器进位端进1,促使D触

发器翻转或截止。

4.3 状态机电路

图4-3状态机电路图

状态机电路由两个D触发器组成。触发器U1A的5脚与触发器的U2A的3脚连接,从而实现U1A的16分频和U2A的32分频。D触发器为上升沿出发,当脉冲由低电平变为高电平时,D触发器发生翻转。本电路中,假设开始时U1A的5脚为高电平,则U2A的3脚也为高电平,分频电路16拍进位一次,促使触发器U1A发生翻转使5脚变为低电平则U2A的3脚也变为低电平。当分频电路经过第二个16拍时,再次进位,U1A的5脚为高电平而此时U2A的2脚也再次变为高电,此过程中U2A经历了一个上升沿触发,翻转一次,从而实现四种花型的轮流变换

4.4 移位输出电路

图4-4 移位输出电路电路图

集成移位寄存器74194由4个RS触发器及他们的输入控制电路组成,其中S1和S0是两个控制输入端,A、B、C、D是并行输入端。如表1所示,他们的状态组合可以完成四种控制功能,其中左移和右移两项是串行输入,数据是分别从左移输入端7引脚和右移输入端2引脚送入寄存器中的。1引脚为异步清零。如图U1B,本电路中要求移位过程中数据不丢失,故采用将移位寄存器的最高位输

出端15脚和最低位输入端2引脚连接以及让移位寄存器的最低位输出端12引脚和最高位输入端7引脚连接,形成环形计数器。从而实现四种花型的循环输出。

表1 74194双向移位寄存器控制端的逻辑功能

5 心得体会

一周的课程设计,使自己收获很多,加深了对书上及老师所讲知识的理解。在本次电子课程设计的学习和研究过程中我感觉受益很深,不但让我懂得了许多理论知识,更给了我一个理论联系实际的机会,让我学到了许多书上学不到的东西。

设计电路关键在于对设计要求的理解分析以及对基本电路相关知识的熟练掌握。设计电路时,将总体的功能分成若干个功能单元来实现,是简化电路设计思路的很好方法;且搞清各个模块的功能与实现要求操作的具体方法,对电路故障的检查也是很有帮助。

通过这次电子课程设计,我学到了很多东西,如查找资料,设计比较,从各种图中提取所需。此外,这次课设还让我们学到很多,也吸取了很多教训。以前感觉考试考得高分就是学到知识了,当真正自己动手去做时才认识到自己犯了多大的错误。在画原理图是需要用到protel,这有力的巩固了我们本学期刚学完的protel知识。

理论学得再好如果不能用到实践中去等于没学。通过此次的课程设计,真正提高了我们动手能力,学会获取资料,活跃了自己的思维,巩固了我们所学的知识。

最后,感谢此次课程设计过程中一直给予我们支持与帮助的老师们,感谢您们的耐心指导!

参考文献

[1]谢自美电子线路设计、实验、测试华中理工出版社

[2]王澄飞电路与数字逻辑设计实践东南大学出版社

[3]何小艇电子系统设计浙江大学出版社

[4]姚福安电子电路设计与实践山东科学技术出版社

[5]编委会灯光控制应用电路集萃机械工业出版社

[6]李银华电子线路设计指导北京航空航天大学出版社

[7]康华光电子技术基础高教出版社

[8]徐国华模拟及数字电子技术实验教程北京航空航天大学出版社

附录

附录1:元器件清单

元件种类数量

74LS194 2个

74HC06 4个

74LS161 1个

74LS74 2个

NE555 1个

30K电阻1个

35K电阻1个

1K电阻8个

LED发光二极管8个

整流桥1个

1000uF电容1个

10uF电容1个

0.1uF电容1个

0.33uF电容2个

100uF电容1个

附录2:输出状态编码

节拍脉冲编码D1 D2 D3 D4 D5 D6 D7 D8

花型一花型二花型三花型四

1 00000000 00000000 00000000 00000000

2 00010001 10000001 10001000 00011000

3 00110011 11000011 11001100 00111100

4 01110111 11100111 11101110 01111110

5 11111111 11111111 11111111 11111111

6 11101110 01111110 01111110 11100111

7 11001100 00111100 00111100 11000011

8 10001000 00011000 00011000 10000001

9 00000000 00000000 00000000 00000000

附录3:元件引脚图

附录4:元件功能表

74LS194功能表

74LS161功能表

D触发器功能表

简易彩灯控制器电路附录5:原理图

简易彩灯控制器电路

简易彩灯控制器电路

第一章.系统的方案的设计 1.1课程设计的要求 1. 要求电路能够控制8个以上的彩灯。 2. 要求彩灯组成四种以上的花形,每种花形连续循环两次,各种花形轮流显示。 1.2 课程设计的目的 1.阅读相关科技文献,本次课程设计需要对电子线路的设计与分析有一定的了解,所以对学生查阅一些科技文献能力提出了要求。 2.学习使用protel软件,本设计中需要画电路逻辑原理图,接线图,器件的引脚与功能图与功能表,真值表等的绘制,需要使用绘图软件。 3.要求会总节设计报告,终结报告时我们的一项基本能力,对所用原件及原理图进行解释,便于查找错误,也便于他人的阅读和了解。培养了我们的综合分析,解决问题的能力。 4.学会了解一些器件的参数及功能,对各种芯片的功能有所里了解并能够简单的应用。 5.培养电子设计的兴趣,有助于我们进一步了解数电课程。 1.3设计思路 设计电路系统可以由四部分组成,分别是:1.脉冲发生器,由555定时器,电阻及电容构成;2.分频电路,由四位二进制计数器74LVC161组成,为D触发器提供时钟信号;3.状态机电路,由双D触发器组成;4)移位显示器,由双向移位寄存器74HC194和发光二极管组成,实现花型显示。 1.4 设计框图 图1-4

把四花型彩光灯设计分为几个独立的功能模块进行设计,每个模块完成特定的功能,再它们有机的组织起来构成一个系统完成彩灯控制器的设计。系统可由四个模块组成。它们分别为:时钟振荡电路,555定时器构成多谐振荡器;分频电路,由四位二进制计数器 74LS161组成,为D 触发器提供时钟;状态机电路,由双 D 触发器组成;移位显示电路,由双向移位寄存器 74194 和发光二极管组成,实现花型显示。 电路系统由四部分组成: 1)时钟振荡电路由555定时器,电阻及电容构成时钟振荡电路,为系统提供时钟; 2)分频电路由四位二进制计数器74LVC161组成,为D触发器提供时钟信号,为状态机提供时钟; 3)状态机电路由双D触发器74LS74组成; 4)移位显示器由双向移位寄存器74HC194组成。 1.5 工作原理分析 由555定时器构成的时钟振荡电路产生固定频率的脉冲,一方面作用于由74161组成的分频电路,一方面作用于由74F194构成的移位输出电路,为他们提供时钟信号。由于74161是16分频计数器,故每十六个脉冲74LS161进位一次,致使触发器U1A翻转一次,而触发器U2A的3脚连接的是触发器U1A的5脚,实现了U1A的16分频和U2A的32分频。所以平均U1A翻转两次而U2A翻转一次。集成移位寄存器74194由个RS触发器及他们的输入控制电路组成,其中S1和S0是两个控制输入端。双D触发器的输出端改变S0,S1的值,实现左右移动控制。可组成U1A左移,U2A右移;U1A右移,U2A右移;U1A左移,U2A左移;U1A右移,U2A左移四种花型。每十六个脉冲每种花型恰好循环两次,而此时D触发器翻转,转换为下一种花型。 1.6 设计方案 用移位寄存器来控制彩灯的左右移动,用触发器和计数器组成的周期性触发电路,而此电路中的CP脉冲用NE555定时器通过外接电路实现。此种电路的优点就是CP脉冲的频率稳定,彩灯花样变换的效果好,而且实现了自动控制,于预期控制。

小彩灯控制电路设计

实验5 彩灯控制电路 一、实验目的 1. 掌握彩灯控制电路的设计和实现; 2.综合运用所学器件进行简单电路的设计; 3.熟练掌握74LS00、74LS86、74LS90、74LS138的综合应用。 二、实验设备 1、函数信号发生器 2、数字双踪示波器 3、集成电路:74LS00 4、集成电路:74LS86 5、集成电路:74LS90 6、集成电路:74LS138 7、发光二级管、电阻、开关等 三、实验内容 1、彩灯控制电路要求控制4个彩灯; 2、两个控制信号:S1S0= 00 灯全灭; S1S0=01 右移,循环显示; S1S0=10 左移,循环显示; S1S0=11 灯全亮。 四、实验结果 1.彩灯控制电路简单的系统框图介绍: ↓ ↓

↓ 2.详细设计思路: S1S0每个状态下4个彩灯有四种状态变化,用74LS90产生脉冲,按照四进制接法,接入74LS138作为74LS138芯片的驱动信号,然后进行译码操作,从而实现彩灯的控制电路的设计,下面列出该实验的真值表 其中:QA、QB为74LS90的输出端,G1为74LS138的控制端,A、B、C为输入端,Y0-Y7为输出端,X0、X1、X2、X3为四个彩灯的状态显示,0表示灭,1表示亮。 3.彩灯控制电路逻辑真值表: 彩灯控制电路的真值表

4.由此可以得到相应的逻辑关系如下: C=S1 B=QA A=QB G1=S1⊕S0 X0=Y0+Y4+S1S0 X1=Y1+Y7+S1S0 X2=Y2+Y6+S1S0 X3=Y3+Y5+S1S0 5.实验仿真电路图如下所示: (1)其中函数信号发生器设置为方波,1Hz; (2)开关S1中上面为S1,下面为S0,左拨为0,右拨为1; (3)四个彩灯使用红色发光二极管显示,从左到右的循环等价于图中从上到下的循环,从右到左的循环等价于图中从下到上的循环显示,另外每个二极管各添加了一个500欧的电阻来限制电流,防止二极管烧坏。

可编程彩灯控制器原理及设计

可编程彩灯控制器原理 及设计 Company Document number:WTUT-WT88Y-W8BBGB-BWYTT-19998

目录 一、课题设计任务及要求 .3 二、设计目的 3 三、优选设计方案 4 四、整体设计思想及原理框图 5 五、各模块设计与分析 6 1、脉冲发生电路 7 2、控制电路和译码电路 10 3、存储电路 12 4、数码管显示电路 .14 六、元器件清单 15 七、安装及调试中出现的问题和解决方法 15 八、设计感想 17 附录 一、实验电路图 20 二、实验电路连接图 .21 三、参考文献 21 一、课题设计任务及要求 课题名称:可编程彩灯控制器 设计任务及要求: 1、设计脉冲产生电路、图形控制电路和存储电路;

2、用8×8LED点阵作为显示电路,显示内容的动面感要强。 3、能用按键切换不同的显示组合,至少有3个按键切换; 4、每种组合至少有3种变化,每种组合内图形能连续循环; 5、要有数码管显示当前是第几种组合(或是第几个按键); 6、图形显示间隔(显示频率)至少有3种可选。 控制器可有2种控制方式: (1)规则变化:变化节拍有秒和秒,交替出现,每种节拍可有多种花样,各执行1或2个周期后轮换;彩灯变化方向有单向移动和双向移动、跳跃移动等。 (2)随机变化。变化花样相同,但节拍和花样的轮换随机出现。 7、完成电路全部设计后,通过实验箱验证设计课题的正确性。 二、设计目的 本课程设计主要是为了实现可编程彩灯控制的功能,且通过本次电子课程设计,了解电子产品设计的一般过程,掌握电子线路设计的基础方法和一般过程,能灵活运用已学过或者类似的集成块构成电路实现上述功能,还能灵活掌握555电路的应用方法。能用仿真软件对电子线路进行仿真设计,还能用Portel等软件绘制PCB图,掌握了电子电路调试的方法,且能独立解决设计与调试过程中出现的一般问题,并进一步掌握EEPROM的编程方法和应用。 三、优选设计方案 方案A: 根据设计要求,本系统由控制电路,编码发生电路和输出驱动电路等组成。其彩灯控制器的总体设计思想如下:

音乐彩灯控制器设计-课程设计(1)

课程设计说明书题目:音乐彩灯控制器 院(部):理学院 专业班级:应用物理14-1 学号: 2014305070 学生姓名:王伟洲 指导教师:李学超

安徽理工大学课程设计(论文)任务书理学院(部)物理系

前言 随着现在社会的发展,人们生活水平的提高,人们对娱乐环境的要求越来越高,娱乐环境中的灯光控制,成了一个重要的部分。为此,特意设计了关于音乐彩灯的控制。本设计要求将输入音乐信号分为高,中,低三个频段,并且分别控制三种颜色的彩灯.每组彩灯的亮度随各自输入音乐信号大小分为八个等级.输入信号最大时,彩灯最亮.当输入信号的幅度小于10mv时,要求彩灯全亮。

第一部分:实验原理部分 (一).设计框图及电路系统概述 设计框图: 电路系统概述: 1.声音信号要分为三个频段,所以第一步要通过滤波器进行滤波,将音频信号按要求分为三个频段。 2.经过放大器把毫伏级的声音信号放大为与比较信号可比的信号。由于直流信号才可比较,所以在进入比较器前先进行整流。 3.同步脉冲通过简易的数模转换产生阶梯波,放大后的信号与其比较产生高低电平,再和同步脉冲相与产生个数不同的脉冲去触发三极管,由触发脉冲的个数决定彩灯的亮度。 4.如果音乐信号小于10mV,用比较器产生高电平使或门的输出总为高电平,产生的高电平与1HZ的脉冲信号进行与,从而使灯亮暗闪烁。 (二).实验电路结构与分块电路原理 由本实验设计要求可将试验电路基本分为七个组成部分,即 1.电压转换部分 2.语音信号的输入部分 3.基本信号的放大部分 4,滤波选频部分(核心) 5.幅度控制部分 6 .输出显示部分 7.10毫伏比较扩展部分 第三部分:各单元电路的设计方案及原理说明 下面分别从以上几个分块电路说明该彩灯控制器的设计原理与过程. 1.电源电路: 由于实验给出电源为220V交流电,而实验所需芯片的工作电压大致在5-12V,故需要首先设计一个电压转换部分,将220V的交流电转换成5V,12V,相当于一个直流稳压源,以供数字和模拟芯片正常工作。其转换电路如下所示:

八路彩灯循环显示控制电路课程设计

目录 1前言 (1) 1.1序言 (1) 1.2目前彩灯的应用情况 (1) 1.3主要工作概述 (2) 2 总体方案设计 (3) 2.1方案比较 (3) 2.2方案论证 (4) 2.3方案选择 (4) 3.单元模块电路设计 (5) 3.1时钟信号发生器 (5) 3.2 序列信号发生器 (7) 3.3 移位输出显示电路 (13) 4软件设计 (16) 4.1Proteus仿真软件 (16) 4.2 Altium designer软件 (16) 4.3软件的设计结构 (18) 5系统调试 (19) 5.1脉冲信号发生器的调试 (19) 5.2序列信号发生器和以为输出显示电路调试 (20) 5.3整体电路的调试 (20) 5.4系统实现的功能 (21) 6设计总结与收获 (22) 7 参考文献 (24) 附录:彩灯显示控制电路原理图 (25)

说明书 1前言 1.1序言 由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。在设计中更多的使用中,规模集成电路,不仅可以减少电路组件的数目,使电路简捷,而且能提高电路的可靠性,降低成本。因此用集成电路来实现更多更复杂的器件功能则成为必然。随着社会市场经济的不断繁荣和发展,各种装饰彩灯、广告彩灯越来越多地出现在城市中。在大型晚会的现场,彩灯更是成为不可缺少的一道景观。小型的彩灯多为采用霓虹灯电路则不能胜任。在彩灯的应用中,装饰灯、广告灯、布景灯的变化多种多样,但就其工作模式,可分为三种主要类型:管做成各种各样和多种色彩的灯管,或是以日光灯、白炽灯作为光源,另配大型广告语、宣传画来达到效果。这些灯的控制设备多为数字电路。而在现代生活中,大型楼宇的轮廓装饰或大型晚会的灯光布景,由于其变化多、功率大,数字长明灯、流水灯及变幻灯。长明灯的特点是只要灯投入工作,负载即长期接通,一般在彩灯中用以照明或衬托底色,没有频繁的动态切换过程,因此可用开关直接控制,不需经过复杂的编程。流水灯则包括字形变化、色彩变化、位置变化等,其主要特点是在整个工作过程中周期性地花样变化。 本文所要设计的彩灯是用八个发光二极管代替的,能通过外部开关的操作,来实现彩灯亮点的左移、右移、全亮、全灭的效果。因此其会在越来越多的场合中使用,这使本设计具有很大的借鉴意义。这种控制电路可靠性,灵活性高,使用范围广,特别适合中小城市的交通灯、霓虹灯等的应用。而且,它对其他类似系统的开发具有一定的借鉴意义。 1.2目前彩灯的应用情况 LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。彩灯广泛应用于流水灯、跑马灯、鸳鸯戏水灯、流水灯、控制功能,并给出了具体的硬件电路和相应的程序。此课题设计具有很大现实意义,LED彩灯广泛应用于商业街广告灯,也可作为歌厅、酒吧照

彩灯控制器电路设计报告

西安科技大学高新学院 毕业设计(论文) 题目彩灯控制器电路设计 院(系、部) 机电信息学院 专业及班级电专1202班 姓名张森 指导教师田晓萍 日期 2015年5月28日

摘要 随着微电子技术的发展,人民的生活水平不断提高,人们对周围环境的美化和照明已不仅限于单调的白炽灯,彩灯已成为时尚的潮流。彩灯控制器的实用价值在日常生产实践,日常生活中的作用也日益突出。基于各种器件的彩灯也都出现,单片机因其价格低廉、使用方便、控制简单而成为控制彩灯的主要器件。 目前市场上更多用全硬件电路实现,电路结构复杂,结构单一,一旦制成成品就只能按固定模式,不能根据不同场合,不同时段调节亮度时间,模式和闪烁频率等动态参数,而且一些电路存在芯片过多,电路复杂,功率损耗大,亮灯样式单调缺乏可操作性等缺点,设计一种新型彩灯已迫不及待。 近年来,彩灯对于美化、亮化城市有着不可轻视的重要作用。因此作为城市装饰的彩灯需求量越来越大,对于彩灯的技术和花样也越来越高。目前市场上各种式样的LED彩灯多半是采用全硬件电路实现,存在电路结构复杂、功能单一等局限性,因此有必要对现有的彩灯控制器进行改进。 关键词:LED彩灯;STC-89C52单片机;彩灯控制器。

目录 1前言 (1) 1.1设计目的 (1) 1.2设计要求 (1) 1.3总体方案设计与选择的论证 (2) 2节日彩灯控制器的设计 (4) 2.1核心芯片及主要元件功能介绍 (4) 2.1.1 AT89S52芯片 (4) 表1 (5) 2.1.2 74HC377芯片 (5) 2.1.3 74HC138芯片 (6) 2.2硬件设计 (7) 2.2.1直流电源电路 (7) 2.2.2按键电路 (8) 2.2.3时钟复位电路 (8) 2.2.4 LED显示电路 (9) 2.2.5硬件调试 (9) 2.3软件设计 (10) 3 总结 (15) 3.1实验方案设计的可行性、有效性 (15) 3.2设计内容的实用性 (15) 3.3心得 (16) 附录 (16) 参考文献 (18) 致谢 (19)

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

节日彩灯控制器设计

摘要 随着微电子技术的发展,人民的生活水平不断提高,人们对周围环境的美化和照明已不仅限于单调的白炽灯,彩灯已成为时尚的潮流。彩灯控制器的实用价值在日常生产实践日常生活中的作用也日益突出。基于各种器件的彩灯也都出现,单片机因其价格低廉,使用方便,控制简单而成为控制彩灯的主要器件。 目前市场上更多用全硬件电路实现,电路结构复杂,结构单一,一旦制成成品就只能按固定模式,不能根据不同场合,不同时段调节亮度时间,模式和闪烁频率等动态参数,而且一些电路存在芯片过多,电路复杂,功率损耗大,亮灯样式单调缺乏可操作性等缺点,设计一种新型彩灯已迫不及待。近年来,彩灯对于美化、亮化城市有着不可轻视的重要作用。因此作为城市装饰的彩灯需求量越来越大,对与彩灯的技术和花样也越来越高。目前市场上各种式样的LED彩灯多半是采用全硬件电路实现,存在电路结构复杂、功能单一等局限性,因此有必要对现有的彩灯控制器进行改进。本文介绍了一种简易LED彩灯控制系统的软硬件设计过程,以STC-89C51单片机作为主控核心与辅助硬件电路相结合,利用软件实现对LED彩灯进行控制。本系统具有电路结构简单、操作容易、硬件少、成本低等特点。 关键词:LED彩灯 STC-89C51单片机彩灯控制器

前言 现在这个时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未见的速度被单片机智能控制系统所取代。单片机具有体积小、功能强、成本低、应用面广等优点,可以说,智能控制与自动控制的核心就是单片机。目前,一个学习与应用单片机的高潮正在工厂、学校及企事业单位大规模地兴起。学习单片机的最有效方法就是理论与实践并重,本文是作者用自制了一款简易的流水灯,重点8贡献。随着人们生活环境的不断改善和变化,在许多场合可以看到彩色霓虹灯。彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到广泛应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。目前彩灯种类繁多,但有一种趋势,冷光源、低功耗、寿命长的已渐成主流。在照明工程中推广和普及使用,将为节约我国宝贵的资源,保护我们的环境发挥出巨大的作用。本文根据单片机原理设计的一种彩灯电路。本文侧重对彩灯的闪烁频率,亮灯时间及模式进行设计。导向是软硬件兼使软件为主硬件为辅,很容易实现对彩灯的控制。本电路没有复杂的结构,更容易让人理解接受。操作起来更加简单、方便,当然效率也更高。 1单片机的介绍 1.1单片机的简介 单片微型计算机简称单片机,是典型的嵌入式微控制器(Microcontroller Unit),常用英文字母的缩写MCU表示单片机,它最早是被用在工业控制领域。单片机由芯片内仅有CPU的专用处理器发展而来。最早的设计理念是通过将大量外围设备和CPU集成在一个芯片中,使计算机系统更小,更容易集成进复杂的而对体积要求严格的控制设备当中。INTEL的Z80是最早按照这种思想设计出的处理器,从此以后,单片机和专用处理器的发展便分道扬镳。早期的单片机都是8位或4位的。其中最成功的是INTEL的8031,因为简单可靠而性能不错获得了很大的好评。此后在8031上发展出了MCS51系列单片机系统。基于这一系统的单片机系统直到现在还在广泛使用。随着工业控制领域要求的提高,开始出现了16位单片机,但因为性价比不理想并未得到很广泛的应用。 90年代后随着消费电子产品大发展,单片机技术得到了巨大提高。随着INTEL i960系列特别是后来的ARM系列的广泛应用,32位单片机迅速取代16位单片机的高端地位,并且进入主流市场。而传统的8位单片机的性能也得到了飞速提高,处理能力比起80年代提高了数百倍。目前,高端的32位单片机主频已经超过300MHz,性能直追90年代中期的专用处理器,而普通的型号出厂价格跌落至1美元,最高端的型号也只有10美元。当代单片机系统已经不再只在裸机环境下开发和使用,大量专用的嵌入式操作系统被广泛应用在全系列的单片机上。而在作为掌上电脑和手机核心处理的高端单片机甚至可以直接使用专用的Windows和Linux操作系统。单片机比专用处理器更适合应用于嵌入式系统,因此它得到了最多的应用。事实上单片机是世界上数量最多的计算机。 1.2单片机的发展史 1971年intel公司研制出世界上第一个4位的微处理器;Intel公司的霍夫研制成功世界上第一块4位微处理器芯片Intel 4004,标志着第一代微处理器问世,微处理器和微机时代从此开始。因发明微处理器,霍夫被英国《经济学家》杂志列为“二战以来最有影响力的7位科学家”之一。

彩灯控制电路

目录 第一章设计目的- 2 - 第二章功能设计要求- 3 - 第三章设计方案及基本组成和原理- 3 - 第一节彩灯电路的组成框图 ........................... - 4 - 第二节各单元电路的工作原理 ......................... - 5 -一编码发生器.................................... - 5 - 二振荡器........................................ - 6 - 三控制器........................................ - 7 - 四移位寄存器.................................. - 10 - 五时钟信号电路................................. - 12 -第三节总电路原理 .................................. - 13 - 第四章元器件清单- 13 - 第五章设计总结与体会- 14 - 第六章参考文献- 16 - 附录(一).简易彩灯控制电路器总电路图- 17 - 摘要: 绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制电路主要用计数器,译码器,分配器和移位寄存器等集成。本次设计的简易彩灯控制器是由时钟信号电路,74LS194双向移位寄存器,D触发器等组成。每个模块又由不同的集成电路组成。它是利用几种芯片来控制不同数量而有规律的彩灯的亮灭。主要由555振荡电路产生时钟脉冲信号,同时通过74HC74、双D触发器组成的电路来控制彩灯亮灭的顺序,从而实现彩灯四种花型自动切换。在设计中,我把所学的数字电路和模拟电路的知识进行有机的结合,并采用了软件进行电路的绘画。 [关键词]:时钟信号电路 74LS194双向移位寄存器 D触发器 SN74LS138D。 第一章 设计目的 一熟悉工程实践中电子电路的设计方法和规范,达到综合应用电子技术的目的。二学习文件检索和查找数据手册的能力。

音乐彩灯控制器(完整版)

摘要 电力电子技术产业作为当代高新技术尤其是信息技术产业与传统产业的接口,在国民经济中扮演着越来越重要的角色。此设计论述了彩灯的总体控制,彩灯将会随着音乐的节奏闪亮,大大的改善了人们的娱乐环境,人们将在音乐和灯光当中消除工作一天的疲惫,并且彩灯的控制不需要人为的操作控制,将会完全自动的运行,使人们感受到了娱乐场所的智能化,人性化。此设计采用了平时常用的集成电路,包括时钟电路、阶梯波电路、滤波器等等,将会很清晰的呈现出它的工作原理,它是电子技术的实例应用。关键词:电子技术音乐彩灯集成电路。II Abstract As the joint between the present hi-Tech industry especially the informationtechnology industry and tradition industry, power electronics industry has been playing amore and more important role in the country economy. Although this industry in Chinahas made some progress through developing more than 30 years, compared with thedeveloped countries the gap is still quite big. This industry can"t meet the demands of thecountry economy development in china. Constituting a series of systemic and scientificdeveloping strategy to speed this industry is the purpose of this paper. The importanteffects of this industry on country economy are discussed, the shaping and developingcondition of this industry is analyzed, and the advantages and disadvantages of thisindustry in China are pointed in this paper. On the basis of referring the advancedexperience of developed countries. keyword: electronic technique music illumination unicircuit

彩灯控制器电路图大全

彩灯控制器电路图大全 收录时间:2010-04-09 17:08:58 来源:未知作者:pic366 【大中小】点击:639 电路工作原理 该彩灯控制器电路由电源电路、彩灯驱动控制电路和音频功率放大电路组成,如图 1-150所示。 电源电路由电阻器Rl-R3、电容器Cl-C3、稳压二极管VS和整流二极管VD2组成。 彩灯驱动控制电路由集成电路IC、电阻器R4、电容器C4-C6、控制按钮S、二极管VDl、晶闸管VTl-VL4和彩灯HLl-H帖组成。 音频功率放大电路由晶体管VI、V2、可变电阻器RP、电容器C7和扬声器BL组成。 交流220V电压经Rl和Cl限流降压、VS稳压、VD2整流及C2、R3、C3滤波后,为IC 提供4.7V直流工作电压。 IC通电工作后,其13-16脚(L1-L4端)输出变化的触发控制信号,通过控制VT1-VT4的工作状态来控制HLl-H饵的闪光效果。IC的4、5脚输出的音频信号经Vl、V2放大后,驱动BL发出音乐声。 S为灯光模式选择按钮,每按动一次S,即可改变一种灯光模式。 元器件选择 Rl选用lW金属膜电阻器;R2和R3选用1/2W金属膜电阻器或碳膜电阻器;R4选用l/4W 碳膜电阻器。 RP选用合成膜可变电阻器。 Cl选用耐压值为630V的CBB电容器;C2-C7均选用耐压值为l6V的铝电解电容器。 VDl选用lN5406型硅整流二极管;VD2选用1N4007型硅整流二极管。

VS选用lW、4.7V的硅稳压二极管。 VTl-VW均选用600V、lA的晶闸管,例如MCRlO0-8等型号。若每路彩灯的功率大于100W,则应选用电流容量大一些的晶闸管。 Vl选用S8050型硅NPN晶体管;V2选用S8550型硅PNP晶体管。 BL选用0.5W、8Ω的电动式扬声器。 S选用小型动合按钮。本例介绍的彩灯控制器,采用SH-818型专用彩灯控制集成电路(内储25首乐曲),能驱动4路彩灯,使之随音乐的节拍闪烁发光,并可变换多种灯光花样。 电路工作原理 该彩灯控制器电路由电源电路、彩灯驱动控制电路和音频功率放大电路组成,如图 1-149所示。 电源电路由电阻器Rl、R2、电容器Cl、C2、稳压二极管VS和整流二极管VD2组成。 彩灯驱动控制电路由集成电路IC(SH-818)、电阻器R3、二极管VDl、电容器C3、C4、晶闸管VTl-VW、控制按钮Sl、S2和彩灯HLl-HL4组成。 音频功率放大电路由晶体管Vl-V3、电阻器R4-R6、电容器C5、C6和扬声器BL组成。 交流220V电压经Rl和Cl限流降压、VS稳压、VD2整流及C2滤波后,为lC和音频功率放大电路提供4.5-4.7V直流工作电压。 IC通电工作后,其7脚(音频信号输出端)输出的音频信号经音频功率放大电路放大后,驱动BL奏出乐曲声。IC的10-13脚(Ll-l4端)输出与音频信号同步变化的触发控制信号,通过控制VTl-VT4的工作状态来控制HLl-Hl4的闪光效果。 S1为灯光模式选择按钮,按动一下S1,可变换一种灯光模式;连续按动S1,可使7种 灯光模式循环变换。 S2为音量控制按钮,连续按动S2,可使BL的音量按"高→中→低→无→高……"循环变换。 改变C3和C4的容量,可以改变音质和音色。 元器件选择

简易声光控延时照明灯电路课程设计范本

简易声光控延时照明灯电路课程设计 电子技术课程设计

题目: ___简易彩灯控制器电路_ 学生姓名: 专业班级:电子信息工程11-01班 学号: 院(系):电气信息工程学院 指导教师:黄春 完成时间: 06月25日 郑州轻工业学院 课程设计(论文)任务书 题目简易彩灯控制器电路 专业电信工程11-01 学号姓名

主要内容、基本要求、主要参考资料等: 主要内容 1.阅读相关科技文献。 2.学习protel软件的使用。 3.学会整理和总结设计文档报告。 4学习如何查找器件手册及相关参数。 技术要求 1.要求电路能够控制8个以上的彩灯。 2.要求彩灯组成四种以上的花形,每种花形连续循环两次,各种 花形轮流显示。 主要参考资料 1.何小艇,电子系统设计,浙江大学出版社, 6月 2.姚福安,电子电路设计与实践,山东科学技术出版社, 10月 3.王澄非,电路与数字逻辑设计实践,东南大学出版社,1999年10月 4.李银华,电子线路设计指导,北京航空航天大学出版社, 6月 5.康华光,电子技术基础,高教出版社, 完成期限: 06月28日

指导教师签章: 专业负责人签章: 06月21日 简易彩灯控制器电路 摘要 彩灯控制器是用来使彩灯按照一定的形式和规律闪亮,起到烘托节日氛围、吸引公众注意力的作用,它是一种很好的照明娱乐工具,应用前景较为广泛。本设计就是采用电子元件制作的一个简易的具有四种变换花型的彩灯控制器。 本电路系统由四部分组成,分别是: (1)时钟振荡电路,555定时器构成多谐振荡器; (2)分频电路,由四位二进制计数器 74LS161组成,为D触发器提供时钟; (3)状态机电路,由双 D 触发器组成; (4)移位显示电路,由双向移位寄存器 74194 和发光二极管组成,实现花型显示。 彩灯控制器实现方法多种多样,本次设计的这台彩灯控制器主要功能有: (1)能够控制8个以上的彩灯;

PLC彩灯控制电路

彩灯控制电路 设计目的 1.通过设计实践,进一步了解PLC控制的设计过程,设计要求,应完成的工作及设计方法. 2.熟悉PLC的基本指令及编程技巧. 3.掌握彩灯闪烁PLC控制电路的安装与调试技巧. 实现功能 此电路将实现彩灯的亮暗的控制, 分A、B、C、D四组彩灯,分别如下: (1)A组亮2S,B、C、D暗 (2)B组亮2S,A、C、D暗 (3)C组亮2S,A、B、D暗 (4)D组亮2S,A、B、C暗 (5)A、C组亮2S,B、D暗 (6)B、D组亮2S,A、C暗 (7)SA闭合,按上述功能反复循环,SA断开,彩灯熄灭。 设备及工具清单

I/O分配表 I/O接线图

梯形指令表: 00000 LD 00000 00001 AND-NOT TIM006 #0010 00003 LD TIM001 00004 TIM0001 #0010 LD TIM002 TIM003 #0010 LD TIM002 TIM004 #0010 LD TIM004 TIM005 #0010 TIM006 #0010 LD 00000 AND-NOT TIM001 LD TIM004 AND-NOT TIM005

OR-LD OUT 01001 LD TIM001 AND-NOT TIM006 OR-LD OUT 01002 LD TIM002 AND-NOT TIM003 LD TIM004 AND-NOT TIM005 OR-LD OUT 01003 LD TIM003 AND-NOT TIM004 LD TIM005 AND-NOT TIM006 OR-LD OUT 01004 END(01)

循环彩灯控制器的电路设计

数字电子技术课程设计题目循环彩灯控制器的电路设计 院系 专业 学生姓名 学号 指导教师 二O一O年十二月二十一日

循环彩灯控制器的电路设计 摘要:本课题主要研究循环彩灯控制器的电路设计,它由直流电源、555振荡器、4510计数器、4028译码器、双D触发器及彩灯等几大部分组成,其中直流电源共有5V和7V两种,均由桥式整流滤波电路产生,其中5V电源主要供给循环彩灯控制电路的主电路(彩灯部分)而7V电源主要共给其控制电路;555振荡器主要为电路产生时钟脉冲提供给计数器;而4510计数器在双D触发器的控制下实现加减计数的功能;4028译码器在4510计数器的基础上产生顺序脉冲信号提供给彩灯,3路彩灯在顺序脉冲的作用下依次正循环和反循环的闪亮。 关键字:循环;计数器;译码器;振荡器 Circulation lights controller circuit design Abstract: the article mainly studied circulation lights controller circuit design, it consists of dc power supply, 555 oscillator, 4510 counters, 4028 decoder, double D flip-flop and lights and several other major components, including dc power were 5V and 7V two kinds, all by bridge rectifier filter circuits produce, including 5V power supply main supply circulation lights control circuit of main circuit (colored lantern part of 7V power mainly to the control circuit, 555 oscillator mainly for circuit produce clock pulse provide counter, And 4510 counter on double D flip-flop is under the control of the realization of the function; add and subtract counting 4028 decoder in 4510 counter on the basis of sequence pulse signal generated provide lights, 3 road lights in order pulse in turn is under the action of circulation and reverse circulation ablaze. Key word: cycle, Counter, Decoder, oscillator 一、概述 彩灯控制电路在人们的日常生活中随处可见,无论是在繁华的闹市区或是在大中型游乐场、圣诞树等等都有它们的身影,循环彩灯控制电路是今年来渐渐兴起的一种较为简单的电子设备装置,它可以按照人们的要求控制彩灯以不同的方式被点亮,还可以伴随音乐、各种奇奇怪怪的声音,色彩,变化无穷,为人们的生活增光添彩。 1、彩灯的控制方法和类型 彩灯一般可以用白炽灯、发光二极管以及拥有不同彩色的灯泡等。常见的彩灯控制方法有两种,一是通过微机编程实现,这种方法的优点是编程简单,变换的种类多,需要的外接电路也有限,它还方便因场地的转移或天气的变化而改变,但它适合于需要控制的彩灯数目较多且经常变换的场合。另一种是通过电子设备来实现,这种的方法的优点是制作和调试比较容易,成本相对而言也较低,电路的结构不是很复杂,

彩灯控制器设计

课程设计名称:电子技术课程设计题目:彩灯控制器设计 学期:2013-2014学年第2学期 专业:电气工程及其自动化 班级: 姓名: 学号: 刘桂芬指导教师: 辽宁工程技术大学课程设计成绩评

定表

课程设计任务书 一、设计题目 彩灯控制器设计

二、设计任务 1.有六只LED彩灯 2.控制顺序是:全亮---奇数灯依次灭---偶数灯依次灭---依次亮—依次灭---全亮—全灭 3.彩灯点亮时间为0.5S。 三、设计计划 电子技术课程设计共1周。 第1天:选题,查资料; 第2天:方案分析比较,确定设计方案; 第3~4天:电路原理设计与电路仿真; 第5天:编写整理设计说明书。 四、设计要求 1. 画出整体电路图。 2. 对所设计的电路全部或部分进行仿真,使之达到设计任务要求。 3. 写出设计说明书。 指导教师:刘桂芬 日期:2014年6月18日 摘要 近年来,由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。在设计中更多的使用中规模集成电路,不仅可以减少电路组件的数目,使电路简捷,而且能提高电路的可靠性,降低成本。因此用集成电路来实现更多更复杂的器件功能则成为必然。 现代生活中,彩灯越来越成为人们的装饰品,它不仅能美化环境,渲染气氛,还可用于娱乐场所和电子玩具中,现以该课题为例进行分析与设计可编程的彩灯控制的电路很多,构成方式和采用的集成片种类、数目更是五花八门,而且有专门的可编程循环彩灯控制电路。绝大多数的彩灯控制电路都是用数字电路来实现的,

例如,用中规模集成电路实现的彩灯控制器主要用计数器,译码器,分配器和移位寄存器等集成。本次设计的可编程彩灯控制电路就是用寄存器、计数器和译码器等来实现,其特点是用发光二极管显示,实现可预置编程循环功能。 关键词:集成电路;计数器;译码器; 目录 1 综 述 ........................................................ (1) 2 方案设计与分 析 (2) 3 电路设计框图及功能描 述 (3) 4 电路原理设计及参数计 算 (4) 4.1 21进制计数 器 (4) 4.2 5-32译码 器 (4) 4.3 真值 表 (5) 5 各单元电路的制 作 (6)

彩灯控制电路

电子技术课程设计报告 学院: 专业班级: 学生姓名: 指导教师: 完成时间: 成绩:

彩灯控制电路设计报告 一.设计要求 (1).利用所学的电子技术知识搭建彩灯控制电路,实现16个彩灯依次循环闪烁。 (2).在搭建电路之前要先用Multisim画好电路原理图,因此要对Multisim软件熟悉,了解他的用途,能够独立完成电路的设计,学会分析电路故障,对元器件认识透彻,清楚各个芯片的结构 及用途。 (3).电路仿真后,能够根据自己设计的原理图搭建电路,并且调试成功。 二.设计的作用、目的 (1).通过电子技术的课程设计使学生能够对电子技术及应用有进一步的理解,同时也巩固了所学的模电与数电知识,使所学的电子技术应用于实际,贴近生活,走向社会,增加学习的动力。(2)掌握电子电路安装和调试的方法及其故障排除方法,学会用面包板对电路进行仿真。 (3).培养学生手动实践,搭建电路的能力,将理论与实际相结合的主要体现,使学生能够在学习理论知识的同时,对电子元器件及电子技术这门技术有更深入的认识。 (4).通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。培养自己的创新能力和创新思维。

三.设计的具体实现 1.系统概述 此电路由74LS14、74LS161、74LS138 组成。通过这些元件 和计数器的真值表,利用相关的电路实现彩灯循环闪烁功能。 当通电后每来一个脉冲,计数器74LS161 加1,输出1。3-8 译码器所接的发光二极管依此发光。则电路组成及工作原 理:彩灯控制器电原理图如下所示,其中74LS14 为电路提 供非门。

2.单元电路设计(或仿真)与分析(1).计数器:74LS161

可编程彩灯控制器原理及设计

目录 一、课题设计任务及要求 .3 二、设计目的 3 三、优选设计方案 4 四、整体设计思想及原理框图 5 五、各模块设计与分析 6 1、脉冲发生电路 7 2、控制电路和译码电路 10 3、存储电路 12 4、数码管显示电路 .14 六、元器件清单 15 七、安装及调试中出现的问题和解决方法 15 八、设计感想 17 附录 一、实验电路图 20 二、实验电路连接图 .21 三、参考文献 21

一、课题设计任务及要求 课题名称:可编程彩灯控制器 设计任务及要求: 1、设计脉冲产生电路、图形控制电路和存储电路; 2、用8×8LED点阵作为显示电路,显示内容的动面感要强。 3、能用按键切换不同的显示组合,至少有3个按键切换; 4、每种组合至少有3种变化,每种组合内图形能连续循环; 5、要有数码管显示当前是第几种组合(或是第几个按键); 6、图形显示间隔(显示频率)至少有3种可选。 控制器可有2种控制方式: (1)规则变化:变化节拍有秒和秒,交替出现,每种节拍可有多种花样,各执行1或2个周期后轮换;彩灯变化方向有单向移动和双向移动、跳跃移动等。 (2)随机变化。变化花样相同,但节拍和花样的轮换随机出现。 7、完成电路全部设计后,通过实验箱验证设计课题的正确性。 二、设计目的 本课程设计主要是为了实现可编程彩灯控制的功能,且通过本次电子课程设计,了解电子产品设计的一般过程,掌握电子线路设计的基础方法和一般过程,能灵活运用已学过或者类似的集成块构成电路实现上述功能,还能灵活掌握555电路的应用方法。能用仿真软件对电子线路进行仿真设计,还能用Portel等软件绘制PCB图,掌握了电子电路调试的方法,且能独立解决设计与调试过程中出现的一般问题,并进一步掌握EEPROM的编程方法和应用。

彩灯控制器实验报告

电工电子课程设计 实验报告 题目名称:彩灯控制器 指导教师: 姓名: 学号: 专业班级: 日期:

前言 电子技术课程设计是配合电子技术基础课程与实验教学的一个非常重要的教学环节。它是电气信息类专业学生的重要基础实践课,也是工科专业的必修课,能巩固电子技术的理论知识,提高电子电路的设计水平,加强综合分析问题和解决问题的能力,进一步培养学生的实验技能和动手能力,启发学生的创新意识及创新思维。完成本次课程设计,对进行毕业设计及毕业后从事电子技术方面的工作都有很大的帮助。 近年来,由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。在设计中更多的使用中规模集成电路,不仅可以减少电路组件的数目,使电路简捷,而且能提高电路的可靠性,降低成本。因此用集成电路来实现更多更复杂的器件功能则成为必然。 现代生活中,彩灯越来越成为人们的装饰品,它不仅能美化环境,渲染气氛,还可用于娱乐场所和电子玩具中,现以该课题为例进行分析与设计可编程的彩灯控制的电路很多,构成方式和采用的集成片种类、数目更是五花八门,而且有专门的可编程循环彩灯控制电路。绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制器主要用计数器,译码器,分配器和移位寄存器等集成。本次设计的可编程彩灯控制电路就是用寄存器、计数器和译码器等来实现,其特点是用发光二极管显示,实现可预置编程循环功能。

目录 前言 1 一、课题设计任务及要求 .3 二、设计目的 3 三、优选设计方案 4 四、整体设计思想及原理框图 5 五、各模块设计与分析 6 1、脉冲发生电路 7 2、控制电路和译码电路 10 3、存储电路 12 4、数码管显示电路 .14 六、元器件清单 15 七、安装及调试中出现的问题和解决方法 15 八、设计感想 17 附录 一、实验电路图 20 二、实验电路连接图 .21 三、参考文献 21

相关文档
相关文档 最新文档