文档库 最新最全的文档下载
当前位置:文档库 › EDA课程实训

EDA课程实训

EDA课程实训
EDA课程实训

《EDA技术》大作业

xxxxxx信息工程学院

基于EDA排队电路的任务设计

摘要:随着大规模的集成电路及计算机的发展,以及自动化控制在技术方面的广泛应用,集成化设计电路有了很大的发展,是现代科技发展的主流方向。本文介绍了排队电路的设计。传统的排队电路都是有单片机实现,本文介绍的是基于EDA技术设计排队显示系统的方案,EDA技术的一个重要特征就是使用硬件描述语言来完成系统的设计文件,应用Verilog的模块化的设计,降低设计的数字系统的设计难度,这在设计领域已得到广泛的采用。本设计针对排队电路问题,提出了基于Verilog语言硬件设计实现方法。

关键词:EDA技术;Verilog;硬件描述语言;

Abstract:Along with the development of large-scale integrated circuits and computer, as well as the wide application of automation control in technology, integrated circuit design has been developed greatly, and it is the mainstream of modern technology development. This paper introduces the design of the circuit.The traditional line circuit is a single chip microcomputer, this paper introduces the design of EDA based on Verilog technology, EDA technology is an important feature of the use of hardware description language to complete the system design documents, the use of modular design, reduce the design of digital systems, which has been widely used in the design field. In this design, the hardware design and implementation method based on Verilog is proposed for the problem of queuing circuit.

Keywords: EDA technology; Verilog; hardware description language;

1 基于EDA排队电路的任务设计

1.1设计要求:

单窗口排队机电路,给每个新来者编号,并计算队伍长度。

(1)进队、离队两个信号作为输入,当前服务号码和队长各由4个数码管显示;(2)初始时队长0,进队号码由1顺序递增,输出编号;

(3)有人入队,长度加,有人离队长度减;

(4)工作时钟适当即可。

1.2设计方案:

方案一:

采用VHDL语言直接编写,实现排队电路的要求和功能。

方案二:

采用Verilog语言进行模块化的设计,该设计分为:显示模块、计数模块、时序状态模块。在将三个模块使用Verilog语言分别编写,并进行组合从而达到设计的目的。该方案设计简单、可行;并且符合设计对语言的设计要求。故采用该方案。

2 排队电路的设计

2.1排队电路的分析

实现排队电路系统的硬件电路主要由按键输入单元、可编程逻辑器件控制单元、数码管输出显示单元、晶体振荡器及电源单元等外围电路构成,如图2-1所示。

图2-1系统结构框图

2.2按键输入模块设计

按键输入单元完成指令的输入,包括新客户区号按钮、柜台的按钮、系统复位按钮等;可编程逻辑器件控制单元是整个排队系统的核心控制单元,负责响应按键输入单元的指令,经过运算产生相关数字和控制信号送给输出显示单元。

2.3输出显示模块

输出显示单元主要由多为数码管构成,能实现当前动态显示当前服务的号码和队伍的长度。如图2-2:

图2-2显示模块的示意图

3 系统仿真与调试:

3.1波形仿真图设

将所编写程序有PC机下载到FPGA中,可得到图3-1程序仿真波形图所示一组波形,在QuartusⅡ软件中可直观看到高低电平分配情况。

图3-1程序仿真波形图

(1)当waiter为1时,数码管会随着newcustom的变化而变化。

当随着waiter脉冲的增加,selout的人数会随之增加,例如下图所示。lednum 显示当前的人数,对应的7段数码显示译码输出值。

(2)当waiter为0时,数码管也显示为0。

当waiter的值为0,selout人数值不会改变,例如下图所示。Lednum、selout、selbit都不会随之变换。

3.2原理图绘制

根据题目设计要求,绘制排队电路原理图,设置好对应的引脚。得到如图3-3所示

图3-2排队电路原理图

第4章总结

选《排队电路》这个题目。在网上收集资料,发现除了自己选得题目外,其他的有很多种。但是,各种书上给的也不是很详细。于是,就找了两本介绍有关课程设计和计数器比较详细的书就回去了。

首先,我是先设计好了程序的流程图。选择一个程序还是选择分成多少个模块来写,觉得分成很多个模块来写的话,程序会很复杂,但是,检查的时候会更加的方便,最后再来一个总的程序,将所有的联系起来,然后再仿真,程序多了就会容易出错。所以,我选择的是用一个程序来写,分成多个进程,只要思路清晰,就不会容易出错的。所以,选择这个方法的前提就是思路一定要清楚。我花了一天多的时间将题目所涵盖的内容分析清楚,并将大概的进程所代表的内容和一部分程序写了出来,画出流程图,比如说图三。

其次,就是将大概的程序从第一步开始一步一步的按照流程图写出来。并在QuartusⅡ软件中建造新的project,编译。。。。。。一开始有很多的错误,甚至由于好久不做实验的原因,在上实验课的时候用的代码也不是自己写的,这也是使自己犯错误的原因之一。都不知道单片机实验箱中的八个七段数码管是有一条线控制的。需要设置一个sel三位选通信号,例如:sel=000时,选得是cnt4=0,既是最后一位数码管。

在输进程序的时候,我的程序共有八个进程,我并不是把所有的程序一下子全部都输进去,我是先输入了一个进程后,在结尾end module;再进行编译,这样解决完一个,再加下一个进程进去,这样进行下去的话,就会知道自己的程序到底是在哪出现了问题。慢慢改,慢慢调试,千万不能着急,就会有新的不同的发现。

在编译的时候,出现了将近有九十个warnings,输入设置的是rst、clk、tmpclk 还有waiter,结果编译的时候就出现了新的问题,clk和tmpclk之间的关系没办法联系起来,检查发现少了一个分频电路。添加分频电路,还要记得把输入当中的tmpclk去掉,在后面新定义一个tmpclk。用tmpclk=cnt10(0)将tmpclk和clk 联系起来。

除了这些问题还有很多老师上课的时候多次强调过的问题:在任一进程的进程说明部分不允许定义信号;“<=”两边的信号的数据类型必须一致;“=”没有赋值的意义;顺序语句只能用在进程中……还有还多老师提到的细节,只有亲自动手操作了之后,才了解到自己的基础知识是多么的不牢固,只有在实践中才能提高自己的能力。只是看懂书上的知识,而不进行事实操作,只是会纸上谈兵,而不会进行实战并取得胜利的。我们都深感动手起来遇到的各种问题都要亲自去解决是一件很不容易的事情,同时我们也在实践过程中修复了以往学习的很多漏洞。我们自己经过这次课程设计也得到了不同程度的完善和提升。

通过这次课程设计,使我更加深刻形象的了解了Verilog语言的特别,Verilog 语言是一种全方位硬件描述语言,包括系统行为级,寄存器传输级和逻辑门级多个设计层次。应充分利用Verilog“自顶向下”的设计优点以及层次化的设计概念,层次概念对于设计复杂的数字系统是非常有用的,它使得我们可以从简单的单元入手,逐渐构成庞大而复杂的系统。通过使用EDA 编程既方便有快捷的实现了程序本次设计的程序已经在硬件系统上得到了验证。

最后,在经过这次课程设计,自己收获了这么多与老师和同学的帮助是分不开的,不管天气有多冷,老师也会到实验室去辅导我们,晚上本来是老师的休息时间,老师也是会上网登QQ来帮我们解决无数的问题……在设计时和同学相互交流各自的想法也是很重要的,不同的人对问题的看法总有差异,我们可以从

交流中获得不同的思路,其他人的设计一定有比你出色的地方,很好的借鉴,并在大家的商讨中选择最优方案最终一定会得到最好的设计方法。这些知识在书上是根本就学习不到的。所以,这次课设不仅提高了自己的知识。

参考文献

[1]《数字电路EDA入门------VHDL程序实例集》张亦华主编北京邮电大学出版社

[2]《DA技术与VHDL(第4版)》潘松黄继业主编清华大学出版社

[3]《CPLD/FPGA 应用开发技术与工程实践》张立科主编人民邮电出版社

[4]《电子技术课程设计指导》. 彭介华主编高等教育出版社

[5]《Verilog HDL硬件描述语言》 J.Bhasker著,徐振林等译机械工业出版社

[6]《硬件描述语言Verilog》刘明业,刁岚松等译清华大学出版社

附录Verilog源程序

教师评定结果一览表

论文评定分别从研究综述、框架内容、写作格式、总结答辩进行打分,具体细则如下:

执笔:赵庆审阅:审定

西安电子科技大学EDA实验报告

EDA大作业及实验报告

实验一:QUARTUS Ⅱ软件使用及组合电路设计仿真 实验目的: 学习QUARTUS Ⅱ软件的使用,掌握软件工程的建立,VHDL源文件的设计和波形仿真等基本内容; 实验内容: 1.四选一多路选择器的设计 首先利用QuartusⅡ完成4选1多路选择器的文本编辑输入(mux41a.vhd)和仿真测试等步骤,给出仿真波形。 步骤: (1)建立工作库文件夹和编辑设计文件; (2)创建工程; (3)编译前设置; (4)全程编译; (5)时序仿真; (6)应用RTL电路图观测器(可选择) 实验程序如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux41 IS PORT( S10:IN STD_LOGIC_VECTOR(1 DOWNTO 0); A,B,C,D:IN STD_LOGIC; Q:OUT STD_LOGIC ); END ENTITY mux41; ARCHITECTURE bhv OF mux41 IS BEGIN PROCESS(A,B,C,D,S10) BEGIN IF S10="00" THEN Q<=A; ELSIF S10="01" THEN Q<=B; ELSIF S10="10" THEN Q<=C; ELSE Q<=D; END IF; END PROCESS; END bhv; 波形仿真如图:

其中,分别设置A,B,C,D四个输入都为10.0ns的方波,其占空比分别为25%,50%,75%,90%以作为四种输入的区分,使能端s10以此输入00(即[0]),01(即[1]),10(即[2]),11(即[3]),可以观察到输出端Q依次输出分别为A,B,C,D。试验成功。 其RTL电路图为: 2.七段译码器程序设计仿真 2.1 原理:7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用VHDL译码程序在FPGA或CPLD中实现。本项实验很容易实现这一目的。例1作为7段BCD码译码器的设计,输出信号LED7S的7位分别接如实验图1数码管的7个段,高位在左,低位在右。例如当LED7S输出为"0010010" 时,数码管的7个段:g、f、e、d、c、b、a分别接0、0、1、0、0、1、0,实验中的数码管为共阳极的,接有低电平的段发亮,于是数码管显示“5”。 实验图1 数码管及其电路 2.2 实验内容:参考后面的七段译码器程序,在QUARTUS II上对以下程序进行编辑、编译、综

eda课程设计报告多功能数字钟设计大学论文

湖北大学物电学院EDA课程设计报告(论文) 题目:多功能数字钟设计 专业班级: 14微电子科学与工程 姓名:黄山 时间:2016年12月20日 指导教师:万美琳卢仕 完成日期:2015年12月20日

多功能数字钟设计任务书 1.设计目的与要求 了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解 2.设计内容 1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开; 2,能用按键调时调分; 3,能整点报时,到达整点时,蜂鸣器响一秒; 4,拓展功能:秒表,闹钟,闹钟可调 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录(四号仿宋_GB2312加粗居中) (空一行) 1 引言 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2总体设计框图 (2) 3设计原理分析 (3) 3.1分频器 (4) 3.2计时器和时间调节 (4) 3.3秒表模块 (5) 3.4状态机模块 (6) 3.5数码管显示模块 (7) 3.6顶层模块 (8) 3.7管脚绑定和顶层原理图 (9) 4 总结与体会 (11)

多功能电子表 摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能 关键词:Verilog语言,多功能数字钟,数码管显示; 1 引言 QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然 2 总体设计方案 2.1 设计思路 根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。这些模块在顶层原理图中相互连接作用 3 设计原理分析 3.1 分频器 分频模块:将20Mhz晶振分频为1hz,100hz,1000hz分别用于计数模块,秒表模块,状态机模块 module oclk(CLK,oclk,rst,clk_10,clk_100); input CLK,rst; output oclk,clk_10,clk_100;

EDA实训心得体会

EDA实训心得体会 经过一周的EDA实训,我也基本掌握了这个软件的使用方法,也体会到了这款软件的实用性。如下是给大家的EDA实训心得体会,希望对大家有所作用。 大三时候开始了专业课的学习,其中EDA就是要学的一门专业课,课程刚开始的时候,对EDA技术很陌生,也感到很茫然,也非常没有信心,当接触到可编程器件的时候,看到大家同样感到很迷惘。首先,通过对这门课程相关理论的学习,我掌握了EDA的一些基本的的知识,现代电子产品的性能越来越高,复杂度越来越大,更新步伐也越来越快。实现这种进步的主要原因就是微电子技术和电子技术的发展。前者以微细加工技术为代表,目前已进入超深亚微米阶段,可以在几平方厘米的芯片上集成几千万个晶体管;后者的核心就是电子设计自动化EDA(Electronic Design Automatic)技术,由于本门课程是一门硬件学习课程,所以实验必不可少。通过课程最后实验,我体会一些VHDL语言相对于其他编程语言的特点。 在接触VHDL语言之前,我已经学习了C语言,汇编语言,而相对于这些语言的学习,VHDL 具有明显的特点。这不仅仅是由于VHDL 作为一种硬件描述语言的学习需要了解较多的数字逻辑方面的硬件 电路知识,包括目标芯片基本结构方面的知识更重要的是由于VHDL 描述的对象始终是客观的电路系统。由于电路系统内部的子系统乃至

部分元器件的工作状态和工作方式可以是相互独立、互不相关的,也可以是互为因果的。这表明,在任一时刻,电路系统可以有许多相关和不相关的事件同时并行发生。因此,任何复杂的程序在一个单CPU 的计算机中的运行,永远是单向和一维的。因而程序设计者也几乎只需以一维的思维模式就可以编程和工作了。 在学习的过程中,我深深体会到,学习不单单要将理论知识学扎实了,更重要的是实际动手操作能力,学完了课本知识,我并没有觉得自己有多大的提高,感觉学到的很没用,我们现在学到的还很少,只是编写一些简单的程序。相反的,每次做完实验之后,都会感觉自己收获不少,每次都会有问题,因此,我认为在老师今后的教学当中,应当更加注重动手实验,把理论与实践很好的结合起来,才能使同学融会贯通。现在感觉到对这门课还只有很少的认识,所以希望很认真的续下去。 短暂的一周实训已经过去了,对于我来说这一周的实训赋予了我太多实用的东西了,不仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得到了锻炼,提高了实际动手能力,下面谈一下就这一周实训中我自己的一些心得体会。一周的实训已经过去了,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到的宝贵东西,熟悉了对Quartus Ⅱ软件的一般项目的操作和学到了处理简单问题的基本方法,更重要的是掌握

EDA交通灯实验报告

实验:交通灯设计 一、设计任务及要求: 设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。要求: (1)交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4)在任意时间,显示每个状态到该状态结束所需的时间。 主干道 图1 路口交通管理示意图 设计要求: (1)采用VHDL语言编写程序,并在QuartusII工具平台中进行仿真,下载到EDA实验箱进行验证。 (2)编写设计报告,要求包括方案选择、程序清单、调试过程及测试结果。 二、设计原理 1、设计目的: 学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用。通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制 2、设计说明

(1)第一模块:clk时钟秒脉冲发生电路 在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。 因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作。 模块说明: 系统输入信号: Clk: 由外接信号发生器提供256的时钟信号; 系统输出信号: full:产生每秒一个脉冲的信号; (2)第二模块:计数秒数选择电路 计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。 模块说明: 系统输入:full: 接收由clk电路的提供的1HZ的时钟脉冲信号; 系统输出信号:tm:产生显示电路状态转换信号 tl:倒计数值秒数个位变化控制信号 th:倒计数值秒数十位变化控制信号 (3)第三模块:红绿灯状态转换电路 本电路负责红绿灯的转换。 模块说明: 系统输入信号:full: 接收由clk电路的提供的1hz的时钟脉冲信号; tm: 接收计数秒数选择电路状态转换信号; 系统输出信号:comb_out: 负责红绿灯的状态显示。 (4)第四模块:时间显示电路 本电路负责红绿灯的计数时间的显示。 模块说明: 系统输入信号:tl:倒计数值秒数个位变化控制信号; th:倒计数值秒数十位变化控制信号; 系统输出信号:led7s1: 负责红绿灯的显示秒数个位。 led7s2:负责红绿灯的显示秒数十位。 三、设计方案

EDA课程设计报告资料

课程设计 设计题目: 学生姓名: 学号: 专业班级: 指导教师: 2015年月日

设计 题目成绩 课 程 设 计 主 要 内 容 指 导 教 师 评 语 签名:20 年月日

设计题目:测量放大器电路原理图和PCB板设计 一、实验目的 1.了解学习Protel 99SE的目的与意义; 2.掌握Protel 99SE绘制电路原理图方法与技巧; 3.掌握PCB设计方法与技巧。 二、实验要求 1.利用Protel 99SE绘制一张电路图; 2.对绘制好的电路图进行ERC检查; 3.生成网络表; 4.生成元件列表; 5.利用Protel 99SE完成对应的双面印刷电路板设计。 三、功率放大器设计 实验原理图如下图所示: 图1

四、protel制图 4.1设计电路原理图 1.电路原理图 电路原理图的设计是整个电路设计的基础,因此电路原理图要设计好,以免影响后面的设计工作。电路原理图的设计一般有如下步骤: (1)设置原理图设计环境; (2)放置元件; (3)原理图布线; (4)编辑和调整; (5)检查原理图; (6)生成网络表。 2.设计印刷电路板 印刷电路板设计是从电路原理图变成一个具体产品的必经之路,因此,印刷电路板设计是电路设计中最重要、最关键的一步。通常,印刷电路板设计的具体步骤如下: (1)规划电路板; (2)设置参数; (3)装入网络表; (4)元器件布局; (5)自动布线; (6)手工调整。 4.2 绘制测量放大器电路原理图 原理图设计最基本的要求是正确性,其次是布局合理,最后是在正确性和布局合理的前提下力求美观。根据以上所述的电路原理图设计步骤,两级放大器电路原理图设计过程如下: 1.启动原理图设计服务器 进入Protel 99 SE,创建一个数据库,执行菜单File/New命令,从框中选择原理图服务器(Schematic Document)图标,双击该图标,建立原理图设计文档。双击文档图标,进入原理图设计服务器界面。如图2

EDA实验报告

EDA 实验报告 实验一:组合电路的设计 实验内容是对2选1多路选择器VHDL 设计,它的程序如下: ENTITY mux21a IS PORT ( a, b : IN BIT; s : IN BIT; y : OUT BIT ); END ENTITY mux21a; ARCHITECTURE one OF mux21a IS SIGNAL d,e : BIT; BEGIN d <= a AND (NOT S) ; e <= b AND s ; y <= d OR e ; END ARCHITECTURE one ; Mux21a 仿真波形图 以上便是2选1多路选择器的VHDL 完整描述,即可以看成一个元件mux21a 。mux21a 实体是描述对应的逻辑图或者器件图,图中a 和b 分别是两个数据输入端的端口名,s 为通道选择控制信号输入端的端口名,y 为输出端的端口名。Mux21a 结构体可以看成是元件的内部电路图。最后是对仿真得出的mux21a 仿真波形图。 Mux21a 实体 Mux21a 结构体

实验二:时序电路的设计 实验内容D 触发器的VHDL 语言描述,它的程序如下: LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; ENTITY DFF1 IS PORT (CLK : IN STD_LOGIC ; D : IN STD_LOGIC ; Q : OUT STD_LOGIC ); END ; ARCHITECTURE bhv OF DFF1 IS BEGIN PROCESS (CLK) BEGIN IF CLK'EVENT AND CLK = '1' THEN Q <= D ; END IF; END PROCESS ; END bhv; D 触发器的仿真波形图 最简单并最具代表性的时序电路是D 触发器,它是现代可编程ASIC 设计中最基本的时序元件和底层元件。D 触发器的描述包含了VHDL 对时序电路的最基本和典型的表达方式,同时也包含了VHDL 中许多最具特色的语言现象。D 触发器元件如上图所示,其在max+plus2的仿真得出上面的波形 D 触发器

EDA实训内容-实验报告

一、课程设计的内容 1、学习EDA仿真技术,并使用Multisim仿真软件完成指定训练内容。 2、学习SOPC技术,并在FPGA上完成相关内容,掌握和理解SOPC的真正内涵。 3、通过学习了解Synopsys软件,掌握IC设计基础。 二、课程设计的要求与数据 1、严格按照分组情况进行实训; 2、完成指定的设计任务; 3、相关设计数据要填入指定表格; 4、课程设计的报告严格按照学校指定格式执行; 5、实训期间不得迟到早退,否则将严肃处理。 三、课程设计应完成的工作 1、学习Multisim仿真软件,并完成以下设计任务: Lab1-4必做,Lab5-9选做任意数量。 2、学习SOPC技术,并完成以下设计任务: Task1-4选作一题,Task5必做。 3、学习IC设计技术基础,并完成以下设计任务: Synopsys IC设计基础,主要学习linux基本操作,IC设计基本流程,概念,完成Design Compiler综合工具实验。 四、课程设计进程安排

五、各实验相关内容和结果。 A、SOPC技术运用 SOPC技术运用这一实验要求完成的是task5和选做task1-4中的一个,这里选择的是task1. A1、Task5主要是学习创建Nios2系统和通过利用Nios开发板熟悉软件设计流程。在软件设计流程时,改Buttons的值为0xe后点击resume运行if语句后variables的值将会改变,如图示: 这里附上Nios2的原理图: A2、Task1主要完成对数据存储和读取电路的设计,这里数据存储和读取电路以一个双端口SRAM 为中心,用二进制计数器产生存取地址、以十进制计数器产生欲存储的数据,读出的数据经过LED 七段译码,送LED 数码管显示。具体内容是用一个4 位二进制计数器产生存取地址;以一个 4位的十进制计数器产生欲存储的数据;读出的数据自然也是一个4位的十进制数,将它送给七段数码管显示出来。这里我门主要设计4位的十进制计数器和4位的二进制计数器以及七段LED显示译码器LEDSP,这里要采用16×4bit的异步双端口SRAM模块DSRAM4而库里边的lpm. lpm_componets在这里边出了些问题,所以直接从Quartus的库里边直接找lpm_ram_dp模块。 这里附上数据存储和读取电路的原理图:

EDA实训过程及心得

实训过程及心得 短暂的三周实训已经过去了,对于我来说这三周的实训赋予了我太多实用的东西了,不仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得到了锻炼,提高了实际动手能力,下面谈一下就这三周具体的实训过程及我自己的一些心得体会。 “实践永远是检验真理的唯一标准”,只有把理论真正的付诸于具体的实践过程中才能得到自己所想要的东西。我们这次实训的主要是EDA课程,EDA全称:Electronic Design Automation( 电子设计自动化),这门课程是本学年新开的课程而且以前也从未接触过这门课目,所以,初学这门课程难免有些吃力。这几周实训是通过EDA (Quartus Ⅱ)软件上机练习实习程序,Quartus Ⅱ软件虽然以前上课接触过几次,懂得一些基本知识,但具体操作起来还是不怎么熟练,软件大多的功能还没弄明白。这次实训是编译课本上的“出租车计费程序、交通灯控制“两个程序,老师对我们给我们提出的要求是:弄明白两个源程序的内在逻辑关系、对两个程序进行时序仿真、通过各个板块画出最后两个程序的总逻辑图还有就是锁定芯片管脚。由于以前接触Quartus Ⅱ软件比较少,所以,有时候就给这次的实训带来了一些困难。比如说对实训程序进行时序仿真观察波形,如果不设置合理的仿真开始、结束时间和合理的仿真波形周期等等就不会得到想要的输入输出信号波形。还有就是在解读两个程序时遇到了很多问题,

比如说,在出租车计费的进程中的定义的a、b、aa三个变量不知道在程序里具体代表的是什么含义就无法读懂这三个变量在这个小模块所组合在一起的在整个大程序中起到的作用,进而也就看不懂整个程序。所以,要想把整个大程序完全的读懂一定要把各个小的细节都彻底的要弄明白。其次就是在最后的锁定芯片管脚的时候不确定是应该锁定哪些管脚,看课本也找不到自己要的答案,课堂笔记上记得也不全,困扰了我好长时间,最后在老师和同学的帮助下终于锁定了芯片管脚。这两个是我在实习中遇到的两个比较大的问题,其他的还遇到了很多其他的小问题。例如,输入完整个程序在对程序进行检验的时候对出现的一些小错误经常会找不到错误的所在,进而影响了下一个操作步骤。另外还有几次在建立工程项目名字和保存程序的名字的时候总是与程序中实体的名字不相符导致程序不能正常使用,与课本的理论知识相背驰。在最后结束完实训的时候自己总结了一下在实训中遇到的诸多问题,最终的原因还是课本的理论知识掌握的不扎实使我在实训的过程中出现了一些本不应该出现的错误,给自己带来了一些障碍和麻烦。至此,本次的实训也告一段落。 以上是这三周实训的具体过程和在实训训过程中遇到的一些困难,下面是我这三周实训下来的一些心得体会。 三周的实训已经过去了,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到的宝贵东西,熟悉了对Quartus Ⅱ软件的一般项目的操作和学到了处理简单问题的基本方法,更重要的是

基于VHDL语言的EDA实验报告(附源码)

EDA 实验报告 ——多功能电子钟 姓名:张红义 班级:10级电科五班 学号:1008101143 指导老师:贾树恒

电子钟包括:主控模块,计时模块,闹钟模块,辅控模块,显示模块,蜂鸣器模块,分频器模块。 1.主控模块: 主要功能:控制整个系统,输出现在的状态,以及按键信息。 源代码: libraryieee; use ieee.std_logic_1164.all; useieee.std_logic_arith.all; useieee.std_logic_unsigned.all; entity mc is port(functionswitch,k,set,lightkey: in std_logic; chose21,setout: out std_logic; lightswitch:bufferstd_logic; modeout,kmodeout : out std_logic_vector(1 downto 0); setcs,setcm,setch,setas,setam,setah:outstd_logic); end mc; architecture work of mc is signalmode,kmode:std_logic_vector(1 downto 0); signal light,chose21buf:std_logic; signalsetcount:std_logic_vector(5 downto 0); begin process(functionswitch,k,set,lightkey) begin iffunctionswitch'event and functionswitch='1' then mode<=mode+'1'; end if; iflightkey'event and lightkey='1' then lightswitch<=not lightswitch; end if; if mode="01" thenchose21buf<='0'; else chose21buf<='1'; end if; ifk'event and k='1' then if mode="01" or mode="11" then kmode<=kmode+'1'; end if;end if; if set='1' then if mode = "01" then ifkmode="01" then setcount<="000001"; elsifkmode="10" thensetcount<="000010"; elsifkmode="11" then setcount<="000100";

eda课程设计心得体会

eda课程设计心得体会 写心得体会是困扰很多人的问题,心中有很多想法,想说却不知道怎么写下来。下面本栏目搜集了eda课程设计心得体会,欢迎查看,希望帮助到大家。 eda课程设计心得体会一这次EDA课程设计历时两个星期,在整整两个星期的日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次设计,进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。特别是当每一个子模块编写调试成功时,心里特别的开心。但是在编写顶层文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:在设定输入的时钟信号后,数字秒表开始计数,但是始终看不到秒和小时的循环计数。后来,在数十次的调试之后,才发现是因为输入的时钟信号对于器件的延迟时间来说太短了。经过屡次调试,终于找到了比较合适的输入数值:时钟周期设置在15秒左右比较合适。另外,Endtime的值需要设置的长一点:500us左右,这样就可以观察到完整的仿真结果。

其次,在连接各个模块的时候一定要注意各个输入、输出引脚的线宽,因为每个线宽是不一样的,只要让各个线宽互相匹配,才能得出正确的结果,否则,出现任何一点小的误差就会导致整个文件系统的编译出现错误提示,在器件的选择上也有一定的技巧,只有选择了合适当前电路所适合的器件,编译才能得到完满成功。 通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。 总的来说,这次设计的数字秒表还是比较成功的,在设计中遇到了很多问题,最后在老师的辛勤的指导下,终于游逆而解,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。最后,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!

EDA技术及指导应用实训报告材料

电子科技大学信息科技学院《EDA技术及应用》实训报告 学号1252100301 姓名 指导教师:覃琴 2014年4 月29 日

实训题目:数字日历电路 1 系统设计 1.1 设计要求 1.1.1 设计任务 (1)用Verilog HDL语言设计出能够在EDA实训仪的I/O设备和PLD芯片实现的数字日历。 (2)数字日历能够显示年、月、日、时、分和秒。 (3)用EDA实训仪上的8只八段数码管分两屏分别显示年、月、日和时、分、秒,即在一定时间段显示年、月、日(如20140101),然后在另一时间段显示时、分、秒(如010101099),两个时间段能自动倒换。 (4)数字日历具有复位和校准年、月、日、时、分、秒的按钮,但校年和校时同用一个按钮,即在显示年、月、日时用此按钮校年,在显示时、分、秒时则用此按钮校时。 (5)体现创新部分 1.1.2 性能指标要求 1)数字电路能够在一定的时间显示切换的功能,并且能手动校准年月日和时分秒 2)具有复位和进位的功能 3)能起到提示的作用,如闹钟或亮彩灯等。 1.2 设计思路及设计框图 1.2.1设计思路 如图1.2.2所示 1) EDA实训箱上的功能有限,可以用到的有8支数码管和12个lED灯。年、月、日和时、分、秒可以通过数码管显示,年月日和时分秒的切换可以通过拨动开关控制,校正可以通过按键实现。 2)输入的秒脉冲由DEA实训仪上的20MHZ晶振经过分频得到,秒脉冲经过60分频后产生1分钟脉冲信号,在经过60分频后产生1小时的脉冲信号,最后进行24分频,得到1天的脉冲送24进制的 cout输出。在将两个60分频和一个24分频的输出送到送到数码管的译码器输入端,得到24小时的计时显示结果。由此得到数字日历的计时器模块。

EDA实验总结报告

CPLD与电子CAD报告 班号: XXXXXXXXX 序号: XXXXX 学号: XXXXXXX 姓名: XXXXXXX 同组同学姓名: XXXXXXX 三峡大学电气与新能源学院 1

CPLD及电子CAD ?前言 VDHL初步理解和软件常规操作 ?第一章 VHDL中的进程、信号与变量 ?第二章并行语句、顺序语句 ?第三章循环语句、双向口 ?第四章数字钟综合设计 ?第五章Protel原理图、PCB图 ?总结学习CPLD心得和体会 前言 VDHL初步理解和软件常规操作 一,VHDL的历史 1982年,诞生于美国国防部赞助的vhsic项目 1987年底,vhdl被IEEE和美国国防部确认为标准硬件描述语言,即IEEE-1076(简称87版) 1993年,IEEE对VHDL进行了修订,公布了新版本的VHDL,即IEEE标准的1076-1993(1164)版本 1996年,IEEE-1076.3成为VHDL综合标准 二,VHDL软件Max+plusⅡ的常规操纵方法 1)新建文件后,输入项目文件名(File-Project-Name)(此时save as名称如果是程序则需要用vhd结尾保存文件) 2)输入源文件(图形、VHDL、波形输入方式) (Max+plusⅡ-graphic Editor;Max+plusⅡ-Text Editor;Max+plusⅡ-Waveform Editor) 3)指定CPLD型号,选择器件(Assign-Device)(应选择EP1K 30TC144-1)4)设置管脚、下载方式和逻辑综合的方式 (Assign-Global Project Device Option,Assign-Global Logic Synthesis) 5)保存并检查源文件(File-project-Save & Check) 6)指定管脚(Max+plusⅡ-Floorplan Editor)(具体的管脚应该参考 2

EDA课程设计参考题目

EDA课程设计参考题目一、设计彩灯控制器一 要求: 1.有八只LED,L0……L7 2.显示顺序如下表 3

要求: 1.8 个灯全亮; 2.8 个灯全灭; 3.从左边第一个开始每隔一个亮; 4.从右边第一个开始每隔一个灭; 5.左4个灭,右4个亮; 6.左4个亮,右4个灭; 7.显示间隔0.5S,1S可调。 三、设计彩灯控制器三 要求: 1. 有十只LED,L0……L9 2. 显示方式 ①先奇数灯依次灭 ②再偶数灯依次灭 ③再由L0到L9依次灭 3.显示间隔0.5S,1S可调。 四、自设计动奏乐器一 要求: 1.开机能自动奏一个乐曲,可以反复演奏;2.速度可变。 1 1 5 5 6 6 5 – 4 4 3 3 2 2 1 – 5 5 4 4 3 3 2 – 5 5 4 4 3 3 2 – 3.附加:显示乐谱。 五、设计自动奏乐器二 要求: 1.开机能自动奏一个乐曲,可以反复演奏;2.速度可变。 1 3 1 3 5 6 5 – 6 6 ? 1 6 5 ––– 6 6 ? 1 6 5 5 3 1 2 2 3 2 1 ––– 3.乐曲自选。 4.附加:显示乐谱。 六、设计汽车尾灯控制器 要求: 1.用6个发光二极管模拟6个汽车尾灯(左、右各3个)。 2.汽车往前行驶时,6个灯全灭。当汽车转弯时,若右转弯,右边3个尾灯从左至右顺序 亮灭,左边3个灯全灭;若左转弯,左边3个尾灯从右至左顺序亮灭,右边3个灯全灭; 汽车刹车时,6个尾灯同时明、暗闪烁;汽车在夜间行驶时,左右两侧的灯同时亮,供照明使用。

要求: 1.在十字路口的两个方向上各设一组红绿黄灯,显示顺序为:其中一个方向是绿灯、黄灯、 红灯,另一个方向是红灯、绿灯、黄灯。 2.设置一组数码管,以倒计时的方式显示允许通行或禁止通行的时间,其中绿灯、黄灯、 红灯的持续时间分别是20s、5s、25s。 八、设计数字频率计 要求: 1.输入为矩形脉冲,频率范围0~99MHz; 2.用五位数码管显示;只显示最后的结果,不要将计数过程显示出来; 3.单位为Hz和KHz两档,自动切换。 九、设计智力竞赛抢答器 要求: 1.四人参赛每人一个按钮,主持人一个按钮,按下就开始; 2.当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响,此时抢答器不再接受其他输入信号。 3.有人抢答时,喇叭响两秒钟; 4.答题时限为100秒钟(显示0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 十、设计数字秒表 要求: 1.要求设置启/停开关。当按下启/停开关,将启动秒表开始计时,当再按一下启/停开关时, 将终止计时操作。 2.数字秒表的计时范围是0秒~59分59.99…… 3.要求计时精度为0.01s。 4.复位开关可以在任何情况下使用,即便在计时过程中,只要按一下复位开关,计时器就 清零,并做好下次计时的准备。 十一、设计数字钟 要求: 1.具有时、分、秒计数显示功能,且以24小时循环计时。 2.具胡清零的功能,且能够对计时系统的小时、分钟进行调整。 3.具有整点报时功能。 十二、设计三层电梯控制器 要求: 1.每层电梯入口处设有上下请求开关,电梯内有乘客到达层数的的停站请求开关。 2.设有电梯所处位置指示装置及电梯运行模式(上升和下降)指示装置。 3.电梯每秒钟升(降)一层。 4.电梯到达有请求的楼层,电梯经过lS电梯门开,打开4S后,电梯门关闭(开门指示灯灭)。电梯继续运行,直至完成最后的一个请求信号后停留在当前层。 5.能记忆电梯内外所有请求信号,并按照电梯运行规则按顺序响应,每个请求信号留至执行完后消除。 6.电梯运行规则:当电梯处于上升模式时,只响应比电梯所在的位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼清求执行完毕;如果高层有下楼请求,则直接升到有下

郑州航院EDA实验报告模板

《EDA技术及应用》 实验报告 系部:电子通信工程系 指导教师:张松炜 学号:121307214 ____ 姓名:李俊杰_______

2014___年_6___月__19_日 实验一点亮LED设计 一、实验目的 通过此试验可以让大家逐步了解,熟悉和掌握FPGA开发软件Quartus II的使用方法及VerilogHDL的编程方法。 二、实验内容 本实验是一个简单的点亮LED灯的实验,具体包括: 1)使用Quartus II建立一个工程; 2)Quartus II工程设计; 3)设置编译选项并编译硬件系统; 4)下载硬件设计到目标FPGA; 5)观察LED的状态; 三、实验原理 和单片机一样,向片子里写进数据,输出高电平(对于共阴极的),或者输出低电平(对于共阳极)。根据Cyclone片子已经分配好的针脚设置好针脚。 四、实验步骤 建立-----个工程-----输入程序-----软件编译 ------生成下载文件-----下载—调试。

五、实验程序 module led1(led); //模块名led1 output[7:0] led; //定义输出端口 reg[7:0] led; //定义寄存器 always //过程1 begin led = 8'b10101010; //输出0xAA end endmodule 六、思考题 (1)写出使用QuartusII软件开发工程的完整的流程。 建立一个工程—输入程序—软件编译综合—生成下载文件— 下载—硬件调。 实验二流水灯实验 一、实验目的 通过此试验让大家进一步了解熟悉和掌握FPGA开发软件的使用方法及软件编程方法。学习简单的时序电路的设计和硬件测试。 二、实验内容 本实验的内容是建立用于控制LED流水灯的简单硬件电路,要求在SmartSOPC上实现LED1-LED8发光二极管流水灯的显示。 三、实验原理

实训心得体会_1

实训心得体会 一周的实训已经过去了,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到的宝贵东西,熟悉了对Quartus Ⅱ软件的一般项目的操作和学到了处理简单问题的基本方法,比如说实体、结构体的作用、保存的时候不能还有中文及文件名要和实体名相同等。加深了对VHDL 编程语言的理解,尤其是本课题中乘法器的设计让我更清楚进程、变量、信号的使用及它们之间的区别。意识到了EDA 课程设计的重要性以及团队合作对于设计的重要性,更重要的是掌握了VHDL语言的基本设计思路和方法,我想这些会对我今后的学习起到很大的助推作用。此外,还要在今后的课本理论知识学习过程中要一步一个脚印的扎实学习,灵活的掌握和运用专业理论知识这样才能在以后出去工作的实践过程中有所成果。 在此次实训的过程中,我了解到了要加强培养动手能力,要明白理论与实践结合的重要性,只有理论知识也是不够的,只有把理论知识和实践相结合,才能真正提高我们的实际动手能力与独立思考的能力。感谢学院给我们提供这次实训的机会,感谢瓮老师对我们的指导,他是为了教会我们如何运用所学的知识去解决实际的问题,此外,还得出一个结论:知识必须通过应用才能实现其价值!有些东西以为

学会了,但真正到用的时候才发现是两回事,所以我认为只有到真正会用的时候才是真的学会了。 短暂的一周实训已经过去了,对于我来说这一周的实训赋予了我太多实用的东西了,不仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得到了锻炼,提高了实际动手能力,下面谈一下就这一周实训中我自己的一些心得体会。 电 气 实 训 心 得 院系:物电院 班级:自动化 姓名:高怀伟 学号:0803110127 电气实训心得 在通过为期8天的电气实训的学习中,我对电气元件及电工技术有一定的感性和理性认识,对电工技术等方面的专业知识做进一步的理解。同时,通过实习得实际生产知识和安装技能,掌握接触器、继电器等控制线路及其元件的工作

EDA实训报告范文

EDA实训报告范文 一实习目的 1.了解proptel软件基本功能及实际操作方法 2.培养实际电路图绘制和动手操作综合能力 3.能够动手绘制原理电路图并对PCB板合理布局 二实习任务 1.绘制给定电路原理图 2.生成原理图的元件列表 3.建立网络表文件和ERC电气检测 4.自动装载生成原理图的单面板(或双面板)PCB图 5.生成电路板信息报表 三protel99SE软件部分信息 略 四实习操作步骤 4.1.建立一个数据库文件 第一步:打开protel99se后,选择file菜单下的new菜单 第二步:选择新建的项目存放方式为DDB以及文件存放目录第三步:新建好DDB文件后,我们就可里边的Documents目录下第五步:可以新建SCH文件了,也就是电路图设计项目 第六步:新建后SCH项目后,在默认的一个protel99se元件库中,可以选择元件 放到电路图中了

第七步:我们也可以选择增加自己的元件库 4.2使用protel99se原理图绘制 第一步:将元件放进SCH原理图中,并且设计元件的属性 第二步:设计元件的属性,包括封装,名称,元件属性等 第三步:在protel99se中设计中,放入网络标号.在同一原理中,所有相同的网 络标号,在图纸中,表示同一网络结点 第四步:设点电源地 第五步:在protel99se中,放好元件,设计是电源和接地后,就可以画线了 4.3如何新建PCB文件以及载入封装图. 第一步:在Documents目录下,新建一个PCB文件,PCB文件即是我们存放PCB电路的文件 第二步:在导航栏中,选择Libraries这一项,这可以让我们在导航栏中,显示当前可以放的封装库,以供选择 第三步:浏览封库以及增加protel99se封装库 第四步:选择封装库并且增加到当前PCB文件中: 第五步:增加好封装库后,我们就要以选择和使用些元件了 第六步:在protel99se绘制PCB图是,有一个单位的选择,可以使用公制以及英制 4.4如何将SCH转为PCB文件

EDA实训万年历

《EDA技术与应用》实训报告指导教师:

实训题目:数字日历 1. 系统设计 1.1 设计要求 1.1.1 设计任务: 设计一个数字日历电路 1.1.2 技术要求: ⑴用Verilog HDL 语言设计一个数字日历。 ⑵数字日历能正确显示年、月、日、时、分和秒。 ⑶通过开关分别控制对年、月、日或时、分、秒校对及自动切换、,并且运用 按钮对年、月、日或时、分、秒校对。 ⑷通过按钮对数码管全部清零。 ⑸拓展功能:添加时钟闹钟功能,并通过LED管显示。 1.2 方案比较: 由于这次实验用到的仪器是EDA实训仪,数码管个数只有八个,因此不能同时显示年、月、日、时、分、秒。但是实训仪的LED管只有12个,因 此在拓展功能时12 小时做一个周期的整点记时显示。在多次的测试和修改 后,得到此最好方案。 1.3 方案论证 1.3.1 总体思路: 通过EDA S程的学习,可知运用Verilog HDL语言设计一个数字日历 括计时器模块(jsq60、j sq24 )、年月日模块(nyr2009)、控制模块 (conr)、校时选择模块(mux_4、显示选择模块(mux_16和闹钟定时模块 (LED1)、闹钟灯模块(nzd);然后将各个模块连接起来画成原理图再下载 到实训仪上,调节开关及按钮来实现数字日历的功能。 1.3.2 设计方案: 总原理图如下:

2 ?各个模块程序的设计 (1)设计一个分频器进行20MHz分频得到实训所需的1Hz的频率 分析:这是个20MHZ寸钟的分频器,将原来EDA实训仪提供的频率为20MHZ 的时钟转换成频率1HZ的时钟,然后输出到有需要的端口,触发模块起作用。 ⑵分别设计一个能显示年月日和时分秒的程序 校准年月日和秒分时的原理图如下: 分析:①当k=0时,开关j1,j2,j3校秒时分然后将信号分别送到jsq24模块的jm(校秒)、jf (校分)、js (校时)。 ②当k=1时,开关j1,j2,j3校年月日然后将信号分别送到nyr2009模块的jr(校日)、jy (校月)、jn (校年)。 计算年月日的模块如下: FIHI Ilk m ■!:! 下町nr TUT TFT) H'j 31 , mzitc' FIH iOS H<匚; rm c 计七匚― H ?- i-im LTJ rn ?■ FIM Cl | r-NLCs' M'l t Fl处i/l FIW反

EDA实验

实验报告 课程名称:EDA实验 实验题目:EDA实验总结 学生姓名:裴彬彬学号:20101050045 物理科学技术学院物理系2010 级电子科学与技术专业 指导教师:陈永康 实验时间:2013 年 实验地点:物科学院3414

一:3-8译码器 实验程序: module no1(y,en,a) ; output [7:0]y ; input en ; input [2:0]a; reg[7:0] y ; always @ (en or a) if (!en) y = 8'b1111_1111 ; else case(a) 3'b000 : y = 8'b1111_1110 ; 3'b001 : y = 8'b1111_1101 ; 3'b010 : y = 8'b1111_1011 ; 3'b011 : y = 8'b1111_0111 ; 3'b100 : y = 8'b1110_1111 ; 3'b101 : y = 8'b1101_1111 ; 3'b110 : y = 8'b1011_1111 ; 3'b111 : y = 8'b0111_1111 ; default : y = 8'bx ; endcase endmodule 波形: 实验总结: 1.编程中在使用CASE语句时,容易将ENDCASE语句忘掉,导致程序错误。 2.在文件名必须与VHDL文件中的设计实体名保持一致。

二:4位并行乘法器 实验程序: module no2(a,b,y); input [3:0]a; input [3:0]b; output [7:0]y; assign y=a*b; endmodule 波形: 实验总结: 本实验学习了assign语句的使用方法和使用条件。三:补码生成 实验程序: module no3 (a,y); input [7:0]a; output [7:0]y; reg [7:0]y;

相关文档