文档库 最新最全的文档下载
当前位置:文档库 › 电子技术基础-考试大纲

电子技术基础-考试大纲

电子技术基础-考试大纲
电子技术基础-考试大纲

《电子技术基础》考试大纲

一、考试的总体要求

电子技术基础是通信工程、电子信息、电子科学与技术等专业的专业基础课程。模拟电子技术部分要求考生系统地掌握模拟电子技术的基本概念、各种放大电路的工作原理和基本分析方法,能够运用所学知识正确的分析电路的原理、计算电路的参数,并能灵活的进行应用。数字电子技术部分要求考生掌握数字电路逻辑设计的基本知识、基本理论,掌握常用数字电路的分析和设计方法,掌握常用中(大)规模数字电路的应用。

二、考试内容与要求

第一部分模拟电子技术部分

(一)半导体器件

1、半导体的基本概念:本征半导体;PN结;

2、半导体二极管:伏安特性、主要参数和半导体二极管电路的分析;

3、稳压二极管:伏安特性、主要参数和稳压二极管电路的分析;

4、半导体三极管:电流放大特性、特性曲线和主要参数;

5、场效应管:(1)结型场效应管的工作原理、伏安特性、主要参数、输出特性曲线和转移特性曲线。(2)绝缘栅型场效应管的工作原理、伏安特性、主要参数、输出特性曲线和转移特性曲线。

(二)基本放大电路

1.三极管放大电路:固定偏置、分压偏置放大电路的组成和分析;共射、共集放大电路的组成和分析;理解图解分析法,重点掌握小信号模型分析法。

2.场效应管放大电路:微变等效模型、自给偏压电路与分压式偏置电路;基本共源电路的组成、静态和动态分析方法;基本共漏电路及其静态、动态分析。

3.差分放大电路:组成、抑制零漂的原理和信号的三种输入方式;共模、差模电压放大倍数、共模抑制比;差放电路的四种输入输出方式、双端输入双端输出方式和双端输入单端输出方式;电阻和带恒流两类长尾差分放大电路的静态和动态分析

(三)功率放大电路

1.功率放大电路的特点。

2.功率放大电路的三种工作状态;甲类、乙类、甲乙类功率放大电路的特点。

3.乙类功率放大电路的组成及分析方法(乙类功率放大电路的工作原理,静态分析,动态分析。)

4.甲乙类功率放大电路的组成及分析方法(甲乙类功率放大电路的工作原理,静态分析,动态分析。)

(四)负反馈放大电路

1.反馈的基本概念及有无反馈的判别。

2.反馈的方框图表示法及闭环增益的一般表达式:反馈深度、环路增益的概念。

3.反馈类型和极性的判断:瞬时极性法判断正反馈与负反馈;电压反馈与电流反馈及其判别方法;直流反馈与交流反馈及其判别方法;负反馈的四种组态及其判断方法。

4.负反馈对放大电路性能的影响。

5.深度负反馈放大电路的动态估算。

(五)集成运算放大电路

1.集成运算放大器的线性应用:运放的线性工作区、理想运放模型、理想运放分析法(虚短、虚地、虚断);信号运算电路:反相、同相输入比例运算;反相、同相求和运算;减法运算;积分、微分、对数、反对数运算电路、有源滤波电路。

2.集成运算放大器的非线性应用:运放工作在非线性区时的特点;电压比较器:过零比较器;单限比较器;比较器电路的一般分析方法;滞回比较器;窗口比较器。

第二部分数字电子技术部分

(一)数字逻辑基础

1、熟练掌握二进制、八进制、十进制、十六进制数及其相互转换规律;

2、掌握数字系统中常用的8421BCD编码,并了解其他几种常用BCD编码。

3、掌握逻辑变量与逻辑函数及与、或、非三种基本逻辑运算的概念;

4、掌握逻辑代数的基本公式和常用公式,逻辑代数的基本规则和基本定理;

5、掌握逻辑函数及其表示方法;

6、掌握逻辑函数的公式化简法和卡诺图化简法;

7、掌握具有无关项的逻辑函数及其化简。

(二)集成逻辑门

1、熟悉晶体管、MOS管开关特性;

2、理解TTL和MOS集成门电路的工作原理;

3、掌握TTL和MOS集成门电路的逻辑功能、外部特性、主要参数和正确使用方法;

4、理解集成门电路标准推拉输出、开路输出、三态输出的特点和应用;

5、理解TTL门电路和CMOS门电路的改进思路和典型措施。

(三)组合逻辑电路

1、掌握组合逻辑电路的基本概念及特点;

2、掌握组合逻辑电路分析方法和步骤;

3、掌握组合逻辑电路的设计方法;

4、熟悉常用中规模组合逻辑器件:编码器、译码器、全加器、数值比较器、数据选择器等器件的逻辑功能及应用;

5、了解组合逻辑电路中的冒险现象及其消除方法。

(四)集成触发器

1、熟悉触发器的逻辑分类、功能和基本特点;

2、了解各类触发器的电路结构、工作原理和动作特点;

3、掌握触发器逻辑功能的描述方法(包含状态转换表、特征方程、状态图、

4、激励表和工作波形图等);

5、掌握RS触发器、JK触发器、D触发器、T触发器、和T′触发器各自的功能特点。

(五)时序逻辑电路

1、掌握时序逻辑电路的基本概念;

2、掌握时序逻辑电路的分析方法和步骤;由小规模集成电路构成的时序逻辑电路的分析;

3、掌握常用中规模时序逻辑电路的功能及应用;由中规模集成电路构成的

时序逻辑电路、寄存器和移位寄存器电路结构和常用集成电路,移位寄存器应用;计数器电路分析;

4、掌握同步时序逻辑电路的设计方法,利用通用集成计数器构成任意进制计数器的设计方法;

5、掌握典型MSI时序逻辑器件上的附加控制端的功能和使用方法,并进行多片级联使用的逻辑设计。

(六)半导体存储器及可编程逻辑器件

1、熟悉存储器的一般结构和工作原理;

2、理解各类ROM的存储原理、读写原理;

3、掌握RAM的特点、种类和SRAM的结构及原理;

4、掌握存储单元、字、位、地址、地址单元等基本概念以及存储器容量扩展的一般方法;

5、熟悉用存储器设计组合逻辑电路的原理和方法;

6、了解PLD的基本特征、分类、每种类型的特点及发展概况;

7、理解用可编程逻辑器件实现各种逻辑功能电路的基本原理;了解CPLD、FPGA等器件的基本原理、特点及设计流程。

三、考试形式及时间

考试形式为笔试,考试时间150分钟,满分为150分。

四、主要参考书目

模拟部分:《电子技术基础》,康华光、陈大钦等编著,高等教育出版社(第五版)。

数字部分:《数字电路逻辑设计》,王毓银等编著,高等教育出版社(第三版)。

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

电子技术基础-考试大纲

电子技术基础-考试大纲 参考书: 1.《电工学(下册)-电子技术》(第六版、第七版都可);秦曾煌主编,高教出版社 2.《模拟电子技术基础》(第三版或第四版);童诗白主编,高等教育出版社 3.《数字电子技术基础》(第四版);阎石主编,高等教育出版社 考试时间:2小时(满分100分)。 一、本课程的地位、作用和任务 《电子技术基础》是工科电气类的专业技术基础核心课程。课程任务是使学生获得电子技术的基本理论和基本技能,为学习后续课程和从事有关的工程技术工作打下基础。 二、基本内容 1、模拟电子技术基础 (1)掌握半导体二极管、稳压管、晶体三极管的基本概念和主要参数;尤其是掌握半导体二极管、稳压管、晶体三极管的计算方法及应 用。 (2)理解和掌握不同类型单级放大电路的工作原理和性能特点,掌握放大电路的静态分析及动态分析方法。能够分析计算基本共射放大电路中的实验现 象。 (3)了解深度负反馈的概念,掌握不同反馈类型的分析方法,理解负反馈对放大电路性能的影响,能够计算单级深度负反馈电路的电压放大倍数。 (4) 掌握集成运算放大器的基本组成和电压传输特性,掌握其基本分析方法。能分析和计算由理想运算放大器组成的基本运算电路及信号处理电 路。

(5)理解与掌握互补对称功率放大电路的基本概念与分析计算方法。 (6)了解不同类型的正弦波振荡电路的基本组成及工作原理,判断电路能否产生正弦波振荡,掌握分析计算电路振荡频率的方法。 (7)掌握单相直流稳压电路的基本组成、工作原理及电路参数的计算。 2、数字电子技术基础 (1)掌握组合逻辑电路中基本门电路的逻辑图、波形图、功能表及其应用。 (2)用逻辑代数法或卡诺图法化简,分析组合逻辑电路的作用。掌握组合逻辑电路的基本设计方 法。 (3)理解常用组合电路集成模块的应用。掌握不同类型的译码器、优先编码器的分析方法及简单应用。 (4)掌握时序逻辑电路中双稳态触发器的逻辑功能, 能够实现逻辑功能的转换。 (5)掌握用555集成定时器组成的多谐振荡器的分析与参数计算方 法。 (6)掌握同步时序电路、寄存器及计数器的基本分析和设计方法。

南京理工大学-研究生入学考试大纲-823电子技术基础

南京理工大学研究生入学考试大纲 科目名:《电子技术基础》 一. 考试内容 模拟电路部分 1半导体器件 (1)半导体的基本概念:本征半导体; PN结 (2)半导体二极管:①半导体二极管的伏安特性;半导体二极管的主要参数;半导体二极管电路的分析。 (3)稳压二极管:稳压二极管的伏安特性;稳压二极管的主要参数;稳压二极管电路的分析。 (4)半导体三极管:三极管的电流放大特性;三极管的特性曲线和主要参数 (5)场效应管: ①结型场效应管的工作原理;伏安特性;主要参数;输出特性曲线;转移特性曲线; ②绝缘栅型场效应管的工作原理;伏安特性;主要参数;输出特性曲线;转移特性曲线;输出特性曲线的三个区; 2基本放大电路 (1)三极管放大电路:固定偏置放大电路的组成和分析;分压偏置放大电路的组成和分析;有交流射极电阻的共射放大电路的组成和分析;共集放大电路的组成和分析; (2)场效应管放大电路:场效应管放大电路;场效应管的微变等效模型;场效应管的两种静态偏置电路:自给偏压电路与分压式偏置电路;基本共源电路的组成、静态分析、动态分析方法;基本共漏电路及其静态、动态分析。 3 多级放大电路 (1)多级放大电路的三种耦合方式: (2)阻容耦合放大电路及其分析方法; (3)直接耦合放大电路及其分析方法; (4)变压器耦合放大电路; 4差分放大电路 (1)差放电路的工作原理:差放电路的组成;抑制零漂的原理;信号的三种输入方式:差模、共模、任意输入方式;共模电压放大倍数;差模电压放大倍数;共模抑制比; (2)差放电路的四种输入输出方式;双端输入双端输出方式;双端输入单端输出方式;(3)长尾差分放大电路:电阻长尾差分放大电路的静态分析和动态分析;带恒流源长尾差放电路的组成和静态分析、动态分析; 5功率放大电路 (1)功率放大电路的特点; (2)功率放大电路的三种工作状态;甲类、乙类、甲乙类功率放大电路的特点。 (3)甲类功率放大电路的组成及分析方法( 甲类功率放大电路的工作原理,静态分析,动态分析。) (4)乙类功率放大电路的组成及分析方法(乙类功率放大电路的工作原理,静态分析,动态分析。) (5)甲乙类功率放大电路的组成及分析方法(甲乙类功率放大电路的工作原理,静态分析,

电工电子技术基础习题答案汇总

第1章 电路的基本知识 1.1 电路的概念 (1)略 (2)电路通常由电源、负载和中间环节(导线和开关)等部分组成。 A .电源的作用:将其他形式的能转换成电能。 B .负载的作用:将电能转换成其他形式的能。 C .中间环节的作用:传递、分配和控制电能。 1.2 电路中的主要物理量 (1)零、负电位、正电位 (2)3、1.5、3、1.5、0、3 (3)-7,-5 1.3 电阻 (1)3∶4 (2)查表1.3,知锰铜合金的电阻率?Ω?=-7 10 4.4ρm 根据S l R ρ=,得43.1104.41021.0376=???==--ρRS l m 1.4 欧姆定律 (1)电动势、内压降 (2)当R =∞ 时,电路处于开路状态,其特点是电路中电流为零,电源端电压等于电源电动势;当R =0时,电路处于短路状态,其特点是短路电流极大,电源端电压等于0。 (3)22.01000 220 === R U I A 由于22.0=I A=220mA 50>mA ,故此人有生命危险。 1.5 电功与电功率 (1)2540 1000 ===P W t h (2)略 (3)31680072002.0220=??==UIt W J 思考与练习 一、判断题 1.√ 2. × 3. √ 4. × 5. √ 6. × 7. × 8. √ 9. × 二、选择题 1. C 2. C 3. B 4. B 5. B 6. B 7. C 8. B 三、填空题

1.正、相反; 2.参考点; 3.负极、正极; 4.高、低、低、高; 5.材料、长度、横截面积、 S l R ρ =; 6.1800、±5%; 7.220 四、计算题 1.5510=-=-=b a ab V V U V 10)5(5=--=-=c b bc V V U V 15)5(10=--=-=c a ac V V U V 15-=-=ac ca U U V 2.2.012024===t Q I A Ω=== 202 .04I U R 3.(1)210 100220 =+=+= r R E I A (2)2001002=?==IR U V (3)20102=?==Ir U r V 4.(1)8804220=?==UI P W (2)15840001800880=?==Pt W J (3)1440018005.042 2 =??==Rt I Q J (4)1569600144001584000=-=-=Q W E J 第2章 直流电路的分析与计算 2.1 电阻的连接 (1)5.04 2 11=== R U I A 10205.022=?==IR U V 1210221=+=+=U U U V (2)由于1 2 2 212 21R R R U R U P P = = 故142820 101212=?== P R R P W

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

电子技术基础考试必备(十套试题,答案)

电子技术基础试题(八) 一.填空题:(每题3分,共30分) 1、PN结具有__________性能。 2、一般情况下,晶体三极管的电流放大系数随温度的增加而_______。 3、射极输出器放在中间级是兼用它的____________大和____________ 小的特点,起阻抗变换作用。 4、只有当负载电阻R L和信号源的内阻r s______时,负载获得的功率最 大,这种现象称为______________。 5、运算放大器的输出是一种具有__________________的多级直流放大器。 6、功率放大器按工作点在交流负载线上的位置分类有:______类功放, ______类功放和_______类功放电路。 7、甲乙推挽功放电路与乙类功放电路比较,前者加了偏置电路向功放 管提供少量__________,以减少__________失真。 8、带有放大环节的串联型晶体管稳压电路一般由__________ 、 和___________四个部分组成。 9.逻辑代数的三种基本运算是 _________ 、___________和___________。 10.主从触发器是一种能防止__________现象的实用触发器。 二.选择题(每题3分,共30分) 1.晶体管二极管的正极的电位是-10V,负极电位是-5V,则该晶体二极管处于:( )。

A.零偏 B.反偏 C.正偏 2.若晶体三极管的集电结反偏、发射结正偏则当基极电流减小时,使该三极管:()。 A.集电极电流减小 B.集电极与发射极电压V CE上升 C.集电极电流增大 3.某三级放大器中,每级电压放大倍数为Av,则总的电压放大倍数:()。 A.3A V B.A3V C.A V3/3 D.A V 4.正弦波振荡器中正反馈网络的作用是:()。 A.保证电路满足振幅平衡条件 B.提高放大器的放大倍数,使输出信号足够大 C.使某一频率的信号在放大器工作时满足相位平衡条件而产生自激 振荡 5.甲类单管功率放大电路中结构简单,但最大的缺点是:()。 A.有交越失真 B.易产生自激 C.效率低6.有两个2CW15稳压二极管,其中一个稳压值是8V,另一个稳压值为 7.5V,若把两管的正极并接,再将负极并接,组合成一个稳压管接 入电路,这时组合管的稳压值是:( )。 A.8V B.7.5V C.15.5V 7.为了减小开关时间,常在晶体管的基极回路中引入加速电容,它的主要作用是:()。

电工电子技术与技能教案(1-1).

电工电子技术与技能教案(1-1)【课题编号】 1-01-01 【课题名称】认识电工实训室与安全用电 【教学目标】 应知: 1.简单认识电工实训室。 2.了解电工基本操作规程。 应会: 1.掌握常用电工仪器、仪表的使用。 2.学会安全用电常识。 【学情分析】学生在初中物理电学的基础上,接触电工电子这门课程,为了让学生对这门课程能有一个初步的认识,从认识实训室入手,加强实物教学,能降低学习难度,符合学生的认知规律,从而达到教学目的。通过多媒体演示、教师讲解、学生讨论让学生有一定的安全用电知识,为以后的学习做好安全保障。 【教学方法】现场教学法、演示法、实验法、讨论法、对比法。 【教具资源】 电工实训台、万用表、试电笔、多媒体课件 【教学安排】 2学时(90分钟) 【教学过程】 一、导入新课 电工电子技术与技能这门课程是学习关于电的知识、技能及应用,这些知识和技能的学习离不开电工实训室。为了让大家对电有一个具体的认识,我们首先认识电工实训室常用电工仪器、仪表。 二、讲授新课 教学环节1:认识电工实训室 (一)实训台 教师活动:引导学生观察实训台,了解实训台的几个组成部分的作用。 学生活动:观察实训台,在教师引导下分析、讨论,对实训台有初步了解。 能力培养:锻炼学生的观察能力和综合概括能力。

(二)常用电工仪器、仪表 教师活动:现场演示讲解各种仪器、仪表外形作用及简单使用方法。 学生活动:在教师引导下,观察各种仪器、仪表,练习简单的使用方法。 能力培养:锻炼学生的观察能力和动手操作能力。 教学环节2:电工基本操作规程 教师活动:简单讲解操作规程,引导学生讨论分析知道违规的弊端。 学生活动:分组讨论每项操作规程,了解违反规程的危害。 教学环节3:安全用电常识 (一)常见的触电方式 教师活动:通过触电实例,和学生介绍触电方式及触电的危害。 学生活动:在教师引导下,结合实例,分组讨论触电方式及危害。 能力培养:培养学生的分析概括和知识横向联系的能力。 (二)电流对人体的危害及触电急救 教师活动:通过触电实例,介绍电流对人体危害,安全电压;利用多媒体演示触电急救方法,让学生掌握简单触电急救方法。 学生活动:在教师引导下,结合实例,分组讨论电流对人体危害;观看多媒体演示触电急救方法,掌握简单触电急救方法。 能力培养:培养学生的分析概括和知识横向联系的能力。 (三)安全用电注意事项 教师活动:通过用电实例,介绍安全用电注意事项,让学生了解安全用电注意事项。 学生活动:联系实际,结合实例,分组讨论安全用电注意事项。 能力培养:培养学生的分析概括和知识横向联系的能力。 (四)电气火灾的防范 教师活动:通过用电实例,介绍引起电气火灾的原因,让学生了解基本灭火方法。 学生活动:联系实际,结合实例,分组讨论电气火灾的防范。 能力培养:培养学生的分析概括和知识横向联系的能力。 三、课堂小结 教师与学生一起回顾本节课的知识,引导学生在理论联系实践的基础上理解相关知识。为便于学生理解,教师要尽可能结合实际,用多媒体投影,像讲故事一样,引导学生一起回顾实训室、安全用电知识。必要时可以各小组总结本节主要内容,让学生在轻松的气氛下掌握知识。

电子技术基础考试必备十套试题,有答案

电子技术基础考试必备十套试题,有答案 TTA standardization office【TTA 5AB- TTAK 08- TTA 2C】

电子技术基础试题(八)一.填空题:(每题3分,共30分) 1、PN结具有__________性能。 2、一般情况下,晶体三极管的电流放大系数随温度的增加而_______。 3、射极输出器放在中间级是兼用它的____________大和____________ 小的特点,起阻抗变换作用。 4、只有当负载电阻R L和信号源的内阻r s______时,负载获得的功率最 大,这种现象称为______________。 5、运算放大器的输出是一种具有__________________的多级直流放大器。 6、功率放大器按工作点在交流负载线上的位置分类有:______类功 放,______类功放和_______类功放电路。 7、甲乙推挽功放电路与乙类功放电路比较,前者加了偏置电路向功放 管提供少量__________,以减少__________失真。 8、带有放大环节的串联型晶体管稳压电路一般由__________ 、 和___________四个部分组成。 9.逻辑代数的三种基本运算是 _________ 、___________和 ___________。 10.主从触发器是一种能防止__________现象的实用触发器。 二.选择题(每题3分,共30分)

1.晶体管二极管的正极的电位是-10V,负极电位是-5V,则该晶体二极管处于:( )。 A.零偏 B.反偏 C.正偏 2.若晶体三极管的集电结反偏、发射结正偏则当基极电流减小时,使该三极管:()。 A.集电极电流减小 B.集电极与发射极电压V CE上升 C.集电极电流增大 3.某三级放大器中,每级电压放大倍数为Av,则总的电压放大倍数:()。 3 4.正弦波振荡器中正反馈网络的作用是:()。 A.保证电路满足振幅平衡条件 B.提高放大器的放大倍数,使输出信号足够大 C.使某一频率的信号在放大器工作时满足相位平衡条件而产生自激振 荡 5.甲类单管功率放大电路中结构简单,但最大的缺点是:()。 A.有交越失真 B.易产生自激 C.效率低6.有两个2CW15稳压二极管,其中一个稳压值是8V,另一个稳压值为,若把两管的正极并接,再将负极并接,组合成一个稳压管接入电路,这时组合管的稳压值是:( )。 7.为了减小开关时间,常在晶体管的基极回路中引入加速电容,它的主要作用是:()。

电子技术基础考试大纲

《电子技术基础》考试大纲 (包括模拟电路、数字电路两部分) 一、参考书目 1.康华光,电子技术基础——模拟部分,第五版,高等教育出版社,2008 2.康华光,电子技术基础——数字部分,第五版,高等教育出版社,2008 二、考试内容与基本要求 《模拟电子技术》考试大纲 一、半导体器件 [考试内容] PN结、半导体二极管、稳压二极管的工作原理;晶体三极管与场效应管的放大原理; [考试要求] 1. 熟悉半导体二极管的伏安特性,主要参数及简单应用。 2. 熟悉稳压二极管的伏安特性,稳压原理及主要参数。 3. 理解双极性三极管的电流放大原理,伏安特性,熟悉主要参数。 二、放大器基础 [考试内容] 放大电路的性能指标和电路组成及静态分析;稳定静态工作点的偏置电路;放大电路的 动态分析,三种基本组态放大电路;场效应管放大电路性能指标分析;运算放大器放大 电路性能指标分析。 [考试要求] 1. 理解放大电路的组成原则。 2. 理解静态、动态、直流通路、交流通路的概念及放大电路主要动态指标的含义。 3. 熟悉放大电路的静态和动态分析方法。掌握调整静态工作点的方法。 4. 掌握计算三种组态放大电路的静态工作点和动态指标。 三、放大器的频率参数 [考试内容] 频率特性的基本概念与分析方法;放大器频率分析,三极管的频率参数;共射极接法放 大电路的频率特性;场效应高频等效电路,运算放大器的高频等效电路。 [考试要求] 1. 理解阻容耦合共射放大电路的频率特性。 2. 理解三极管的频率参数。 3. 了解多级放大电路频率特性的概念。 四、放大电路中的负反馈 [考试内容] 负反馈的基本概念;负反馈对放大器性能的影响;深度负反馈的计算;反馈放大电路的 稳定性分析。 [考试要求] 1. 理解反馈,正反馈,负反馈,直流反馈,交流反馈,开环,闭环,反馈系数,反馈 深度,电压反馈,电流反馈,串联反馈,并联反馈等概念。 2. 熟悉负反馈类型的判断。 3. 掌握各种基本组态负反馈对放大电路性能的影响。 4. 掌握深度负反馈放大电路增益的估算方法。

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

电子技术基础考试试题及参考答案

电子技术基础考试试题及参考答案 试题 一、填空题(每空1分,共30分) 1.硅二极管的死区电压为_____V,锗二极管的死区电压为_____V。 2.常用的滤波电路主要有_____、_____和_____三种。 3.晶体三极管的三个极限参数为_____、_____和_____。 4.差模信号是指两输入端所施加的是对地大小_____,相位_____的信号电压。 5.互补对称推挽功率放大电路可分成两类:第一类是单电源供电的,称为_____电路,并有_____通过负载输出;第二类是双电源供电的,称为_____电路,输出直接连接负载,而不需要_____。 6.功率放大器主要用作_____,以供给负载_____。 7.集成稳压电源W7905的输出电压为_____伏。 8.异或门的逻辑功能是:当两个输入端一个为0,另一个为1时,输出为_____;而两个输入端均为0或均为1时,输出为_____。 9.(1111)2+(1001)2=( _____ )2(35)10=( _____ )2 (1010)2–(111)2=( _____ )2(11010)2=( _____ )10 (1110)2×(101)2=( _____ )2 10.逻辑函数可以用_____、_____、_____等形式来表示。 11.组合逻辑电路包括_____、_____、_____和加法器等。 二、判断题(下列各题中你认为正确的,请在题干后的括号内打“√”,错误的打“×”。全打“√”或全打“×”不给分。每小题1分,共10分) 1.放大器采用分压式偏置电路,主要目的是为了提高输入电阻。() 2.小信号交流放大器造成截止失直的原因是工作点选得太高,可以增大R B使I B减小,从而使工作点下降到所需要的位置。() 3.对共集电极电路而言,输出信号和输入信号同相。() 4.交流放大器也存在零点漂移,但它被限制在本级内部。() 5.同相运算放大器是一种电压串联负反馈放大器。() 6.只要有正反馈,电路就一定能产生正弦波振荡。() 7.多级放大器采用正反馈来提高电压放大倍数。() 8.TTL集成电路的电源电压一般为12伏。() 9.流过电感中的电流能够突变。() 10.将模拟信号转换成数字信号用A/D转换器,将数字信号转换成模拟信号用D/A转换器。() 三、单选题(在本题的每小题备选答案中,只有一个答案是正确的,请把你认为正确答案的代号填入题干后的括号内,多选不给分。每小题2分,共26分) 1.用万用表测得某电路中的硅二极管2CP的正极电压为2V,负极电压为1.3V,则此二极管所处的状态是() A.正偏B.反偏C.开路D.击穿 2.放大器的三种组态都具有() A.电流放大作用B.电压放大作用 C.功率放大作用D.储存能量作用 3.下列各图中,三极管处于饱和导通状态的是()

F1001电子技术基础考试大纲.doc

F1001《电子技术基础》考试大纲 一、复习资料 1.《电子线路(线性部分)》(第5版),冯军、谢嘉奎编,高等教育出版社,2010年8月。 2.《数字电子技术基础》(第6版),阎石、王红编,高等教育出版社,2016年4月。 二、考试内容及要求 (一)模拟电子线路 包括半导体器件及应用,基本组态放大电路,组合放大电路,反馈放大电路,差分放大电路,集成运算放大电路及其应用。 1.半导体器件及应用 考试内容: PN结,晶体二极管,晶体三极管,场效应管(MOSFET)。 考试要求: (1)掌握PN结的基本特性;掌握晶体二极管伏安特性、数学模型、简化电路模型、相关性能参数及基本应用;掌握晶体三极管在放大模式下的电流分配关系、伏安特性、数学模型、直流简化模型、小信号电路模型及基本应用;掌握MOSFET的伏安特性、数学模型、小信号电路模型及基本应用;掌握半导体器件应用电路的图解分析法、简化分析法和小信号等效电路分析法。 (2)理解半导体器件各模型中参数的物理意义;理解晶体二极管构成的整流、稳压、限幅等功能电路的结构、工作原理、技术指标;理解晶体三极管构成的电流源、放大器和跨导线性电路的结构和工作原理。

(3)了解MOSFET构成的有源电阻和模拟开关电路的结构和工作原理。 2.放大器基础 考试内容: 三极管和场效应管三种基本组态放大电路,差分放大电路,多级组合放大电路。 考试要求: (1)掌握三极管和场效应管偏置电路及其直流分析方法;掌握基本组态放大电路的增益、输入、输出电阻的分析方法。 (2)理解差分放大电路的性能特点;掌握差分电路直流偏置、差模增益、共模增益、共模抑制比、输入电阻、输出电阻的计算方法;理解差分放大器动态范围的概念;掌握差分放大器动态范围扩展的方法。 (3)理解放大电路频率响应的概念;理解传输函数与波特图的对应关系;掌握波特图的绘制方法;掌握器件与基本放大电路频率响应的分析方法。 (4)理解多级放大器的耦合方式;掌握多级放大器性能指标的计算方法。 3.电流源电路 考试内容:镜像电流源、比例电流源、微电流源,恒流源负载。 (1)理解镜像电流源、比例电流源、微电流源及其改进型电路的工作原理;掌握电流源电路的电流与输出电阻的分析方法。 (2)理解恒流源负载放电器的特点,掌握恒流源负载放大器与差分放大器性能的分析计算方法。 4.放大器中的负反馈 考试内容:

《电工电子技术基础》教案课程.doc

周 授课 教学 执行 授课章节及内容摘要 学时 方式 课外作业及考核 次 情况 思考: 1、冬季穿脱毛衣时,静 1 绪论 安全用电常识 2 讲授 电有上千 v 的电压,为什么没有 出现电死人的情况? 2 15、 16 级德育体验周, 17 级军训 2 3 触电急救 2 电教 练习急救措施 4 第一章 电路的结构和常用基本 2 电教 课后习题一、二 物理量(电压、电流) 5 国庆、中秋放假 2 6 电路的相关物理量(电位、电动 2 讲授 课后习题三 1、 2、 3、 4 势、电能 ) 7 电阻串、并联电路的结构与作用 2 讲授 练习册 1.3、 1.4 8 秋季田径运动会 2 9 电路相关名词及基尔霍夫电压、 2 讲授 练习册 1.5 一、填空题 电流定律 10 第二章 磁场及电磁感应 2 电教 练习册 2.1、 2.2 11 半期复习与测试 2 测试 半期测试题 12 第三章 电容、 电感的概念、 参数 2 电教 课后习题一 、二、 标注及应用 13 第四章单相正弦交流电路的概 2 电教 练习册 4.1 念、相关物理量 14 正弦交流电的表示法 2 讲授 课后习题 一、二、 15 纯电感、纯电容电路的结构及功 2 电教 课后习题 三、四 率 16 纯电阻电路的结构及功率、电路 2 讲授 练习册 4.2 4.5 的功率因数 17 第五章三相交流电源的产生与应 2 讲授 课后题一、二、三 用 18 三相负载的连接 2 电教 练习册 5.1 一、 19 三相电功率 2 讲授 练习册 5.1 二、三 5.2 一、 二、 三

《电子技术基础》研究生入学考试大纲

《电子技术基础》研究生入学考试大纲 课程名称:模拟电子技术、数字电子技术 一、考试的总体要求 模拟电子技术主要考察学生对基本概念、基本理论及基本方法的掌握程度,要求学生能分析计算基本放大电路、集成运算放大电路等模拟电子电路并具有综合运用所学知识分析和解决实际问题的能力。 数字电子技术主要考察学生对基本概念、基本理论及基本方法的掌握程度,要求学生能够运用基本理论分析、设计组合逻辑电路及时序逻辑电路并具有综合运用所学知识分析和解决实际问题的能力。 二、考试内容及比例: 模拟部分: 1、半导体器件(5~10%) (1)理解二极管单向导电特性,掌握二极管伏安特性; (2)理解三极管放大原理,掌握三极管的输入、输出特性曲线; (3)掌握MOS管的转移特性曲线、漏极特性曲线。 2、放大电路的基本原理(20~25%) (1)掌握基本放大电路的结构、工作原理及静态工作点计算; (2)掌握放大电路微变等效电路分析法,理解放大电路的图解分析法。 3、放大电路的频率响应(5~10%) 放大电路的上限频率、下限频率、通频带的概念。正确理解Bode图。 4、集成运算放大器(10~20%) (1)理解零点漂移的概念,理解差动电路克服温漂的原理; (2)掌握差动电路的分析与计算。 5、放大电路中的反馈(5~10%) (1)理解反馈的概念,掌握反馈类型的判断方法,能根据要求引入负反馈; (2)理解反馈对放大器性能的影响; (3)掌握放大电路在深度负反馈条件下的分析计算; (4)理解自激的概念,能根据波特图判断负反馈放大电路是否自激。

6、摸拟信号运算电路(20~25%) (1)理解集成运放电路中“虚短”、“虚断”概念。 (2)掌握基本运算电路的工作原理及分析计算。 7、信号处理电路(10~15%) 掌握信号处理电路原理及分析计算。 8、波形发生电路(10~20%) (1)掌握正弦波振荡电路的工作原理、起振条件、稳幅措施及分析计算; (2)能用相位平衡条件判断各种振荡电路能否起振。 9、功率放大电路(15~20%) OCL、OTL电路的工作原理及分析计算。 10、直流稳压电源(0~10%) (1)整流电路的工作原理及分析计算; (2)电容滤波电路的工作原理及分析计算; (3)稳压电路的工作原理及分析计算。 数字部分: 一、逻辑代数基础(5~10%) (1)逻辑代数基本概念、公式和定理; (2)逻辑函数的化简方法。 二、门电路(15~20%) (1)掌握分立器件门电路的分析方法; (2)理解TTL集成门电路的结构特点,掌握其外特性; (3)理解COMS集成门电路的结构特点,掌握其外特性。 三、组合逻辑电路(30~40%) (1)掌握组合逻辑电路的分析方法及设计方法; (2)熟悉典型组合逻辑电路的设计及逻辑功能表示方法; (3)掌握用中规模集成芯片MSI(译码器、数据选择器等)实现组合逻辑函数。 四、触发器(15~20%) (1)熟悉触发器电路的结构特点; (2)掌握各种结构触发器的功能特点、功能表示方法及应用。

电子技术基础考试必备(十套试题-有答案)

电子技术基础试题(八) 一.填空题:(每题3分,共30分) 1、PN结具有________ 性能。 2、一般情况下,晶体三极管的电流放大系数随温度的增加而 ______ 。 3、射极输出器放在中间级是兼用它的____________ 和 _____________ 小的特点,起阻抗变换作用。 4、只有当负载电阻R L和信号源的内阻rs ______ 时,负载获得的功率最 大,这种现象称为_______________ 。 5、运算放大器的输出是一种具有___________________ ■勺多级直流放大 6、功率放大器按工作点在交流负载线上的位置分类有: ______ 功放, ______ 类功放和 ______ 功放电路。 7、甲乙推挽功放电路与乙类功放电路比较,前者加了偏置电路向功放 管提供少量___________ 以减少____________ 真。 8带有放大环节的串联型晶体管稳压电路一般由_______________ 、—和___________ 个部分组成。 9 .逻辑代数的三种基本运算是_____________________ 、 ___________ 和 10.主从触发器是一种能防止___________ 象的实用触发器。 二.选择题(每题3分,共30分) 1. 晶体管二极管的正极的电位是—10V,负极电位是一5V,则该晶体二极管

处于:()。

A.零偏 B. 反偏 C. 正偏 2.若晶体三极管的集电结反偏、发射结正偏则当基极电流减小时,使该三极管:()。 A. 集电极电流减小 B.集电极与发射极电压V C E上升 C.集电极电 流增大 3. 某三级放大器中,每级电压放大倍数为Av,则总的电压放大倍数:()。 A. 3A V B.A 3V C.A V3/3 D.A V 4. 正弦波振荡器中正反馈网络的作用是:()。 A. 保证电路满足振幅平衡条件 B. 提高放大器的放大倍数,使输出信号足够大 C. 使某一频率的信号在放大器工作时满足相位平衡条件而产生自激振荡 5. 甲类单管功率放大电路中结构简单,但最大的缺点是:()。 A. 有交越失真 B. 易产生自激 C. 效率低 6 .有两个2CW15急压二极管,其中一个稳压值是8V,另一个稳压值为

华中科技大学电子技术基础考纲

华中科技大学硕士研究生入学考试《电子技术基础》 考试大纲 一、考试说明 1. 考试性质 该入学考试是为华中科技大学电子科学与技术一级学科招收硕士研究生而设置的。它的评价标准是高等学校优秀本科毕业生能达到的及格或及格以上水平,以保证被录取者具有较好的电子技术理论基础。 考试对象为参加2010年全国硕士研究生入学考试的考生。 3. 评价目标 本课程考试的目的是考察学生对电子技术的基本概念、基本原理和基本方法的掌握程度和利用其解决电子技术领域相关问题的能力。 4. 考试形式与试卷结构 (1)答卷方式:闭卷,笔试。 (2)答题时间:180分钟。 (3)各部分内容的考查比例:满分150分。 模拟电子技术40% 数字电子技术60% (4)题型:以分析、计算题为主。 5. 参考书目 康华光,陈大钦. 《电子技术基础》,高等教育出版社。 二、考察要点 1.基本半导体器件 PN结的形成,半导体二极管、半导体三极管和半导体场效应管工作原理,晶体管的开关作用,TTL门电路,MOS门电路 2.基本放大电路 微变等效电路,反馈的基本概念及类型判断,负反馈对放大电路性能的影响,频率特性,多级放大电路及其级间耦合,差动放大电路,场效应管及其放大电路3.集成运算放大器

比例运算、加法运算、减法运算、积分运算、微分运算、有源滤波、采样保持、电压比较 4.稳压电源和功率放大电路 整流滤波与反馈式稳压电源,开关稳压电源,乙类互补与甲乙类功率放大电路 5.数字逻辑与组合逻辑电路 逻辑代数及逻辑运算,逻辑函数的简化,组合逻辑电路的分析与设计,编码器,译码器,数据选择器,数值比较器,加法器 6.时序逻辑电路与集成器件 RS触发器,D触发器,JK触发器,T触发器,同步时序逻辑电路的分析及设计,计数器、移位寄存器,随机存取存储器(RAM),只读存储器(ROM),可编程逻辑器件 7.信号发生与转换 正弦波振荡器,多谐振荡器,单稳态触发器,施密特触发器,555集成定时器,D/A转换器,A/D转换器。

电子技术基础课程考试大纲

《电子技术基础》课程考试大纲一、适用专业: 电子科学与技术 二、考试内容 1、基本放大电路 1)晶体管与场效应管 a)晶体管的类型、结构与工作原理 b)场效应管的类型、结构与工作原理 2)晶体管单管放大电路 a)晶体管的静态工作点估算 b)晶体管的交流小信号等效模型 c)共射放大电路的动态分析 d)带发射极电阻的共射放大电路的动态分析 e)共集放大电路的动态分析 f)共基放大电路的动态分析 3)场效应管单管放大电路 a)场效应管的静态工作点估算 b)场效应管的交流小信号等效模型 c)场效应管放大电路的动态分析 2、多级放大电路与集成放大电路 1)多级放大电路 a)多级放大电路的结构 b)级间耦合方式 c)多级放大电路的动态分析 2)电流源电路 a)基本电流源电路 b)其他电流源电路 3)差分放大电路 a)基本电路结构与特性 b)差分放大电路的四种接法 c)带电流源偏置电路的差分放大电路 d)带电流源负载电路的差分放大电路 4)互补输出级电路 a)基本电路 b)交越失真及其消除方法 5)集成运放 a)集成运放的结构与特点 b)集成运放的性能指标 3、负反馈放大电路 1)反馈的判断 a)反馈的基本概念 b)反馈的判断 2)负反馈电路的四种基本组态 a)四种基本组态 b)反馈组态的判断

3)负反馈电路的特性 a)四种基本组态的信号特征 b)负反馈对于电路特性的影响 4)深度负反馈电路和集成运放的运用 a)深度负反馈电路的分析 b)用集成运放构成的深度负反馈电路 4、放大电路的频率特性 1)晶体管与场效应管的高频等效模型 a)晶体管的高频等效模型 b)场效应管的高频等效模型 2)单管放大电路的频率响应 a)单管共射放大电路的频率响应 b)单管共源放大电路的频率响应 c)单管共集放大电路和共基放大电路的频率响应 d)不同接法的放大电路的频率响应比较 3)集成运放的稳定性与频率补偿 a)集成运放的频率响应 b)负反馈放大电路的稳定性 c)集成运放的频率补偿 5、组合逻辑电路 1)逻辑代数基础 a)逻辑代数的基本公式和基本定理 b)逻辑函数的标准表示方法 c)逻辑函数的卡诺图化简 2)组合逻辑电路的分析与设计 a)组合逻辑电路的分析方法 b)组合逻辑电路的设计方法 3)常用的组合逻辑电路 a)加法器 b)编码器和译码器 4)组合逻辑电路中的竞争-冒险现象 a)组合逻辑电路中的竞争-冒险现象的成因及其判别 b)组合逻辑电路中的竞争-冒险现象的消除 6、触发器及其简单应用 1)四种基本触发器 a)触发器的结构 b)四种触发器的逻辑功能 c)四种触发器之间的相互转换 2)寄存器和移位寄存器 a)寄存器 b)移位寄存器 3)异步计数器 a)异步二进制计数器 b)移位寄存器型计数器 6、时序逻辑电路

中等职业学校电工电子技术与技能教学大纲

附件7: 中等职业学校电工电子技术与技能教学大纲 一、课程性质与任务 本课程是中等职业学校非电类相关专业的一门基础课程。其任务是:使学生掌握非电类相关专业必备的电工电子技术与技能,培养非电类相关专业学生解决涉及电工电子技术实际问题的能力,为学习后续专业技能课程打下基础;对学生进行职业意识培养和职业道德教育,提高学生的综合素质与职业能力,增强学生适应职业变化的能力,为学生职业生涯的发展奠定基础。 二、课程教学目标 使学生会观察、分析与解释电的基本现象,具备安全用电和规范操作常识;了解电路的基本概念、基本定律和定理;熟悉常用电气设备和元器件、电路的构成和工作原理及在实际生产中的典型应用;会使用电工电子仪器仪表和工具;能初步识读简单电路原理图和设备安装接线图,并能对电路进行调试、对简单故障进行排除和维修;初步具备查阅电工电子手册和技术资料的能力,能合理选用元器件。 结合生产生活实际,培养对电工电子技术的学习兴趣和爱好,养成自主学习与探究学习的良好习惯;通过参加电工电子实践活动,培养运用电工电子技术知识和工程应用方法解决生产生活中相关实际电工电子问题的能力;强化安全生产、节能环保和产品质量等职业意识,养成良好的工作方法、工作作风和职业道德。 三、教学内容结构 教学内容由基础模块和选学模块两部分组成。 1. 基础模块是各专业学生必修的基础性内容和应该达到的基本要求,教学时数为54学时。 2. 选学模块是适应不同专业需要,以及不同地域、学校的差异,满足学生个性发展的选学内容,选定后即为该专业的必修内容,教学时数不少于10学时。 3. 课程总学时数不少于64学时。

四、教学内容与要求 基础模块

相关文档
相关文档 最新文档