文档库 最新最全的文档下载
当前位置:文档库 › 数电1-3答案

数电1-3答案

数电1-3答案
数电1-3答案

数电1

一、填空:(14分)

1. 数制转换 (DC)H = ( 220 )D= ( 1101 1100 )B = ( 334 )O。

2. 有一数码10010011,作为自然二进制数时,它相当于十进制数 147 ,作为8421BCD码时,它相当于十进制数 93 。

3. 已知某函数,该函数的反函数 =( ),该函数的对偶函数F '= ( )。

4. 某函数有n个变量,则共有个最小项。

5. 将一个最大幅值为5.1V的模拟信号转换为数字信号,要求模拟信号每变化20mV能使数字信号最低位LSB发生变化,则应选用 8 位 A/D 转换器。

6. 一个1024×8位的ROM,其存储容量为 8k 。

7. 为构成4096×4片RAM,需要 8 片1024×1的RAM。

8. 在TTL门电路的一个输入端与地之间接一个10KW电阻,相当于在该输入端输入高电平;在CMOS门电路的输入端与地之间接一个10KW电阻,相当于在该输入端输入低电平。

四、将题图电路各输出逻辑表达式填入表1栏中;各门电路的名称填入表2栏中;若ABCD = 1001,将各输出值填入表3栏中。(14分)

F1 F2 F3 F4 F5 F6 F7

1

2 与非或非同或异或与或非 OC门三态门

3 1 0 0 1 0 0 1

七、试画出题图电路在时钟脉冲CP、输入信号A作用下,Q1、Q2和X的输出波形,并说明电路的逻辑功能。设触发器的初始状态均为0。(10分)

解:图中所示电路是一个同步单次脉冲发生电路。波形如右图所示,在输入信号A上升沿后产生一个与CP 脉冲同步、且宽度等于CP脉冲宽度的时钟单脉冲。

八、中规模四位二进制计数器T214,其功能表和符号图如下所示,其中A,B,C,D是同步预置数端(A为低位,D为高位),是预置数控制端,是异步清零端,P、T是计数允许控制端,进位端OC未标出。(10分)

1. 利用T214的同步预置端构成一个六进制加法计数器。

2. 利用T214的异步清零端构成一个十进制加法计数器。

输入输出

CP C r LD P T A B C D QD QC QB QA

××× 0 1 1 1 1 × 0 1 1 1 ×× 0 × 1 ××× 0 1 ×××× A B C D ×××××××××××× 0 0 0 0 D C B A 保持保持计数

解:六进制加法计数器十进制加法计数器

数电3

三、要实现图中各TTL门电路输出端所示的逻辑关系,各电路的接法是否正确?

对对错错

四、设计一个用与非门实现的交通控制信号灯的检测电路,如果交通灯的控制电路失灵,就可能出现信号灯的无效组合。检测电路要能检测出任何无效组合,并能向维修队发出告警信号。有效组合如图所示。解:用R、Y、G分别表示红、黄、绿灯(1为亮,0为灭),输出Z为报警信号(1为报警,0为正常)。列真值表(略)并化简得

五、 2.该电路为三进制计数器。

数电4

一、填空:(20分)

1. 数制转换:(6FB)H = ( 1787 )D,(1963) D = ( 11110101011 )B = ( 3653 )O。

2. 有一数码10010111,作为自然二进制数时,它相当于十进制数151,作为8421BCD码时,它相当于

十进制数 97 。

3. 已知某函数,该函数的反函数,该函数的对偶函数F '= 。

4. 如果对160个符号进行二进制编码,则至少要 8 位二进制数码。

5. 有一个6位D/A转换器,满度值为10V,则在输出端能分辨出 V电压。

6. 一个五位地址码、8位输出的ROM,其存储容量为。

7. 模/数转换的过程通常分为采样、保持、量化、编码。

8. 为构成4096×4片RAM,需要 8 片1024×1的RAM。

一、填空:(13分)

1.TTL与非门的一个输入端经10KΩ电阻接地,其余输入端悬空,输出电压Uo= 0.3 V。

2.逻辑电路中,高电平用1表示,低电平用0表示,则称为正逻辑。

3.基本RS、同步RS、主从JK和维持阻塞D四种不同结构的触发器,可以作计数器和移位寄存器的有主从JK、维持阻塞D 。

4.n位倒置 R/2R梯形网络D/A转换器,输出电压Uo=。

5.与逐次逼近型ADC比较,双积分型ADC转换速度慢(快、慢),抗干扰能力强(强、弱)

6.将一个包含有16384个基本存储单元的存储电路设计成8位为一个字节的ROM。该ROM有 2048 个地址,有 8 个数据读出线。

7.有一个容量为256×4位的RAM。该RAM有 1024 个基本存储单元,每次访问 4 个基本存储单元,该RAM 有 8 个地址线。

二、判断下列说法是否正确:(5分)

对于TTL数字集成电路来说,在使用中应注意:

1.电源电压极性不得接反,其额定值为5V。(对)

2.不使用的输入端接1。(错)

3.输入端可以串有电阻器,但其数值不应大于关门电阻。(对)

4.三态门的输出端可以并接,但其控制端所加的控制信号电平只能使其中一个门处于工作状态,而其他所有输出端相并联的三态门均处于高阻态。(对)

5.TTL与非门的扇出系数(即带同类门的个数)仅决定于其带灌电流负载的能力。(错)

八、保密电锁上有三个键钮A、B、C。要求当三个键钮同时按下时,或A、B两个同时按下时,或按下A、B 中的任一键钮时,锁就能被打开;而当不符合上列组合状态时,将使电铃发出报警响声。试用与非门和反相器设计此保密锁逻辑电路。(10分)

解:设F为开锁信号(F=1为打开),G为报警信号(G=1为报警)。

A B C F G A B C F G

0 0 0 0 0 1 0 0 1 0

0 0 1 0 1 1 0 1 0 1

0 1 0 1 0 1 1 0 1 0

0 1 1 0 1 1 1 1 1 0

数字电视实验1

数字电视实验1

第一次实验 模拟彩色全电视信号观测实验 一. 实验仪器 1.JH8000DTV 数字电视实验系统装置 一台 2.配置计算机 一台 3.模拟彩色电视信号发生器 一台 4.示波器 一台 二. 实验目的 观察模拟彩色电视信号发生器送出的各类彩色电视信号,并用示波器测量各类信号的特点。 三. 实验步骤 图1.3.1 1.把模拟彩色电视信号发生器的输出端口接入视频A/D,D/A 转换模块的外接端口和地线端。 2.开启JH8000DTV 数字电视实验系统装置总电源,开启视频A/D,D/A 转换模块电源开关,注意关闭DVD 电源,转换开关1按下 3.开启模拟彩色电视信号发生器的电源,变换信号发生器的图像输出选总电源 电电电

图1.3.4 (2)将示波器探头接到解码板左下方“图像输出”接口。 (3)按下DVD“暂停”按键,选定一副静止图像,分别改变基本设置的亮度、对比度、色饱和度、色调各参数值,观察监视器图像的变化和示波器波形变化 (4)选择“高级设置”按钮,分别改变高级的设置的相关参数:输入信号、电视制式、场信号模式、场信号标识以及行有效像素值,观察监视器图像的变化和示波器波形变化 (5)选择视频源为“摄像机”,(可以将摄像机对准标准电视测试卡或对准层次丰富的图象),重复上述(2)、(3)步骤 四.实验要求 1.记录不同参数值时图像的变化,并分析结果。 2.解释行有效像素值与图像水平宽度之间的对应关系 实验二亮色延时实验 一.实验仪器 1.JH8000DTV数字电视实验系统装置一台 2.配置计算机一台 3.数字存储示波器一台 4.标准电视信号发生器一台

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

(完整版)数字电路与逻辑设计课后习题答案蔡良伟(第三版)

数字电路答案 第一章习题 1-1 (1)10 108222*86*826=+= {{82 010110 262610110== {{2161 6 101100001011016== (2) 210 1081081*85*84*8154=++= {{{82001100 101154154 1101100== {{2166 1101100011011006C C == (3)101 10813.1251*85*81*815.1-=++= {{{82001001 10115.115.1 1101.001== {{2162 1101.0011101.0010.2D D == (4)2101 108131.6252*80*83*85*8203.5-=+++= {{{{82010000011101 203.5203.510000011.101== {{{2168 3 10000011.10110000011.101083.A A == 1-2 (1){{285 5 10110110110155== {{2162 101101001011012D D == 10 810555*85*845=+= (2){{{283 4 5 11100101011100101345== {{2165 11100101111001015E E == 2108103453*84*85*8229=++=

(3){{{285 1 4 101.0011101.001100 5.14== {{2165 3 101.00110101.0011 5.3== 012 8105.145*81*84*8 5.1875--=++= (4){{{287 4 4 100111.101100111.10147.4== {{{2162 7 100111.10100100111.101027.A A == 101 018625.398*58*78*45.47=++=- 1-3 (1)10 810161*86*814=+= {{82001110 16161110== {21611101110E E == (2)210 8101721*87*82*8122=++= {{{82001010 111172172 1111010== {{167 2 7101001111111010 A A == (3)1012 81061.536*81*85*83*849.672--=+++= {{{{82001110101011 61.5361.53110001.101011== {{{{2163 1 110001.10101100110001.1010110031.A C AC == (4)21012 810126.741*82*86*87*84*886.9375--=++++= {{{{{82001010100 110111126.74126.74 1010110.1111== {{{2165 6 1010110.111101010110.111156.F F == 1-4 (1){{ 16200101010 22101010A A == {{285 2 10101010101052== 10 810525*82*842=+=

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

数电实验-实验报告-实验六

实验一 TTL与非门的参数测试 一、实验目的 ·掌握用基本逻辑门电路进行组合逻辑电路的设计方法。 ·通过实验,验证设计的正确性。 二、实验原理 1.组合逻辑电路的分析: 所谓组合逻辑电路分析,即通过分析电路,说明电路的逻辑。 通常采用的分析方法是从电路的输入到输出,根据逻辑符号的功能逐级列出逻辑函数表达式,最好得到表示输出与输入之间的关系的逻辑函数式。然后利用卡诺图或公式化简法将得到的函数化简或变换,是逻辑关系简单明了。为了使电路的逻辑功能更加直观,有时还可以把逻辑函数式转化为真值表的形式。 2.逻辑组合电路的设计: 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单电路,陈伟组合逻辑电路的设计。 3.SSI设计:设计步骤如下: ①逻辑抽象;分析时间的因果关系,确定输入和输出变量。 ②定义逻辑状态的含义:以二值逻辑0、1表示两种状态。 ③列出真值表 ④写出逻辑表达式,并进行化简,根据选定器件进行转换。 ⑤画出逻辑电路的连接图。 ⑥实验仿真,结果验证。 三、实验仪器及器件 数字万用表1台

多功能电路实验箱1台 四、实验内容 1.设计5421BCD 码转换为8421BCD 码(用双输入端与非门实现)。 四位自然二进制码 5421BCD码 B3 B2 B1 B0 D3 D2 D1 D0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 0 1 1 0 0 1 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 1 0 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 1 1 1 0 1 0 伪码 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 根据5421BCD 码与8421BCD 码真值表可得 2.设A 、B 、C 、D 代表四位二进制变量,函数X=8A-4B+2C+D ,试设计一个组合逻辑电路,判断当函数值介于4

数字电路试题及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = (11110.01 ) 2 = (1E.4 ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为: 高电平 、 低电平 和 高阻态 。 4 . 主从型JK 触发器的特性方程 = 。 5 . 用4个触发器可以存储 4 位二进制数。 6 . 存储容量为4K×8位的RAM 存储器,其地址线为 条、数据线为 条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:( C )图。 2.下列几种TTL 电路中,输出端可实现线与功能的电路是(B )。 A 、或非门 B 、与非门 C 、异或门 D 、OC 门 3.对CMOS 与非门电路,其多余输入端正确的处理方法是(D )。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C)。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C)。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为(D )。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有(C )个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数字电路与系统设计课后习题答案

(此文档为word格式,下载后您可任意编辑修改!) 1.1将下列各式写成按权展开式: (352.6)10=3×102+5×101+2×100+6×10-1 (101.101)2=1×22+1×20+1×2-1+1×2-3 (54.6)8=5×81+54×80+6×8-1 (13A.4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1.2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 解:分别代表28=256和210=1024个数。 (1750)8=(1000)10 (3E8)16=(1000)10 1.5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 1.6将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 解:结果都为(FF)16 1.8转换下列各数,要求转换后保持原精度: (0110.1010)余3循环BCD码=(1.1110)2 1.9用下列代码表示(123)10,(1011.01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011.01)2=(11.25)10=(0001 0001.0010 0101)8421BCD (2)余3 BCD码 (123)10=(0100 0101 0110)余3BCD (1011.01)2=(11.25)10=(0100 0100.0101 1000)余3BCD (1)按二进制运算规律求A+B,A-B,C×D,C÷D, (2)将A、B、C、D转换成十进制数后,求A+B,A-B,C×D,C÷D,并将结果与(1)进行比较。 A-B=(101011)2=(43)10 C÷D=(1110)2=(14)10 (2)A+B=(90)10+(47)10=(137)10 A-B=(90)10-(47)10=(43)10 C×D=(84)10×(6)10=(504)10 C÷D=(84)10÷(6)10=(14)10 两种算法结果相同。

数字电子技术试卷和答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 二.判断题(10) 1.BCD 码即8421码 ( 错 ) 2.八位二进制数可以表示256种不同状态。 ( 对 ) 3.TTL 与非门与CMOS 与非门的逻辑功能不一样。 ( ) 4.多个三态门的输出端相连于一总线上,使用时须只让一个三态门传送信号,其他门处于高阻状态。 (对 ) 5.计数器可作分频器。 ( 对 ) 三.化简逻辑函数(14) 1.用公式法化简- - +++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑= m d D C B A Y ),,,,()+,,,, (84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画出Q 端波形(设初态Q =0)(15)

数电实验报告1

实验一门电路逻辑功能及测试 一、实验目的 1、熟悉门电路逻辑功能。 2、熟悉数字电路学习机及示波器使用方法。 二、实验仪器及材料 1、双踪示波器 2、器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 74LS04 六反相器1片 三、预习要求 1、复习门电路工作原理相应逻辑表达示。 2、熟悉所有集成电路的引线位置及各引线用途。 3、了解双踪示波器使用方法。 四、实验内容 实验前按学习机使用说明先检查学习机是否正常,然后选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电。试验中改动接线须先断开电源,接好线后在通电实验。 1、测试门电路逻辑功能。 (1)选用双输入与非门74LS20一只,插入面包板,按图 连接电路,输入端接S1~S4(电平开关输入插口),输 出端接电平显示发光二极管(D1~D8任意一个)。 (2)将电平开关按表1.1置位,分别测出电压及逻辑状态。(表1.1) 输入输出 1 2 3 4 Y 电压(V) H H H H 0 0.11 L H H H 1 4.23 L L H H 1 4.23 L L L H 1 4.23 L L L L 1 4.23

2、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A ﹑B ﹑Y 接电平显示发光二极管。 (2)将电平开关按表1.2置位,将结果填入表中。 表 1.2 3、逻辑电路的逻辑关系 (1)选用四二输入与非门74LS00一只,插入面包板,实验电路自拟。将输入输出逻辑关系分别填入表1.3﹑表1.4。 输入 输出 A B Y Y 电压(V ) L L L L 0 0 0 0.16 H L L L 1 0 1 4.18 H H L L 0 0 0 0.17 H H H L 0 1 1 4.18 H H H H 0 0 0 0.17 L H L H 1 1 0.17 输入 输出 A B Y L L 0 L H 1 H L 1 H H 输入 输出 A B Y Z L L 0 0 L H 1 0 H L 1 0 H H 1

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

数电课后习题答案

思考题与习题思考题与习题 第一章 【1-1】(1)(1101)2= (13)10(2)(10111)2=(23)10 (3)(110011)2=(51)10 (4)(11.011)2=(3.375)10 【1-2】(1)(35)10=(100011)2 (2)(168)10 =(10101000)2 (3)(19.85)10=(10011.11011)2 (4)(199)10=(11000111)2 【1-3】(1)(1011011682)()55()AD ==(2)(1110011011682)1()715()CD == (3) (11000111011682 )36()1435()D == (4)(1010101111682)157()527()== 【1-4】答:数字逻辑变量能取“1”,“0”值。它们不代表数量关系,而是代表两种状态,高低电平. 【1-5】答:数字逻辑系统中有“与”,“或”,“非”三种基本运算,“与”指只有决定事件发生的所有的条件都成立,结果才会发生,只要其中有一个条件不成立,结果都不会发生. “与“指只要所有的条件中有一个条件成立,结果就会发生,除非所有的条件都不成立,结果才不会发生. ”非“指条件成立,结果不成立。条件不成立,结果反而成立。 【1-6】答:逻辑函数:指用与,或,非,等运算符号表示函数中各个变量之间逻辑关系的代数式子。 将由真值表写出逻辑函数表达式的方法: 1.在真值表中挑选出所有使函数值为1的变量的取值组合。 2.将每一个选出的变量取值组合对应写成一个由各变量相与的乘积项,在此过程中,如果某变量取值为1,该变量以原变量的形式出现在乘积项中,如果某变量取值为0,则该变量以反变量的形式出现在乘积项中。 3.将所有写出的乘积项相或,即可得到该函数的表达式。 【1-7】答:在n 输入量的逻辑函数中,若m 为包含n 个因式的乘积项,而且这n 个输入变量均以原变量或反变量的形式在m 中出现且仅出现一次,这m 称为该n 变量的一个最小项。 只由最小项组成的表达式称为最小项表达式。 【1-8】将n 个变量的全部最小项各用一个小方块表示,并使具有逻辑相邻性的最小项在几何位置上也相邻地排列起来,所得到的图形称为n 变量的卡诺图。

数电实验报告1

用可编程逻辑器件设计组合逻辑电路 一、实验目的 1.掌握译码器的功能和应用 2.掌握数据选择器的功能和应用 二.实验方案 ㈠ 1. 有一密码锁有三个按键,分别是A、B、C。当三个键都按下时,或当只有A,B其中一个键按下时;或当有A,B两个键同时按下时,锁打开(用F表示开锁信号)。而当有键按下却不符合上列组合状态时,将发出报警信号(用G表示报警信号) 2.设计用3个开关控制一个电灯的逻辑电路,要求改变任何一开关的状态都能控制电灯由亮变灭或由灭变亮。要求用数据选择器实现。 3.用74LS138和门电路设计1位二进制全减器电路。输入为被减数、减数和来自低位的借位,输出为两数之差和向高位的借位信号。 三.实验步骤 (1)画出真值表: 密码锁的逻辑功能表: 1

电灯的逻辑功能表: 全减器的逻辑功能表:

(2)写出逻辑表达式: 密码锁的逻辑表达式: 1Y=[1C0(A'B')+1C1(A'B)+1C2(AB')+1C3(AB)]1GN2Y=[2C0(A'B')+2C1(A'B)+2C2(AB')+2C3(AB)]2GN全减器的逻辑表达式: Y=CI’P’K+CI’PK’+CIP’K’+CIPK C0= CI’P’K+CIP’K’+CIPK+CIP’K (3)画出电路原理图 密码锁的电路图,用74153实现:

电灯的电路图,用74153实现: 全减器的电路图,用74138实现: 四.时序仿真:

用Quatus2 仿真得到的波形如下: 五.实验验证:分析仿真图波形和真值表结果以及在开发板上的演示结果,完全吻合,故此次设计正确。 六.总结: 本次的实验看上去简单,但对于逻辑电路的应用需要更熟练。74LS138的功能是将每个输入的二进制代码译成对应的输出高,低电平的信号,它是编码的反操作。在实验过程中因为要控制更多的输入和观察记录等更多的输出,每一步都要准确无误才会得到正确的结果。对双四选一数据选择器74LS153的使用相对困难。首先是原理的理解,其次是线路的分配。把74LS138和74LS153综合运用起来才能实现多通道数据传输。应该先对电路的数字逻辑进行详细的分析,可以提高学习的效率也能加强对实验的理解。

数电课后答案

《时序逻辑电路》练习题 [5.1] 分析图P5.8的计数器电路,说明这是多少进制的计数器。十进制计数器74160的功能表见表5.3.4。 [5.2] 分析图P5.9的计数器电路,画出电路的状态转换图,说明这是多少进制的计数器。十六进制计数器74LS161的功能表如表5.3.4所示。 [5.11]试分析图P5.11的计数器在M=1和M=0时各为几进制。74LS160的功能表同上题。 [5.12]图P5.12电路是可变进制计数器。试分析当控制变量A为1和0时电路各为几进制计数器。74LS161的功能表见题5.10。 [5.13]设计一个可控制进制的计数器,当输入控制变量M=0时工作在五进制,M=1

时工作在十五进制。请标出计数输入端和进位输出端。 [解] 见图A5.13。 [5.15]试分析图P5.15计数器电路的分频比(即Y与CP的频率之比)。74LS161的功能表见题5.10。 [解] 利用与上题同样的分析方法,可得74LS161(1)和74LS161(2)的状态转换图如图A5.15(a)、(b)所示。可见,74LS 161(1)为七进制计数器,且每当电路状态由1001~1111时,给74LS 161(2)一个计数脉冲。74LS 161(2)为九进制计数器,计数状态由0111~1111循环。整个电路为63进制计数器,分频比为1:63。 [5.16] 图P5.16电路是由两片同步十进制计数器74160组成的计数器,试分析这是多少进制的计数器,两片之间是几进制。74160的功能表见题5.10。 [解] 第(1)片74160接成十进制计数器,第(2)片74160接成了三进制计数器。第(1)片到第(2)片之间为十进制,两片中串联组成71~90的二十进制计数器。

精选-数电试卷和答案

电子线路分析与实践2期末复习辅导 2010年10月 练习题 一、填空题 1.(11011)2 =(________)10 2.8421BCD 码的1000相当于十进制的数值 。 3.格雷码特点是任意两个相邻的代码中有_______位二进制数位不同。 4.逻辑函数的反演规则指出,对于任意一个函数F ,如果将式中所有的_________互换,_________互换,_________互换,就得到F 的反函数F 。 5.二极管的单向导电性是外加正向电压时 ,外加反向电压时 。 6.晶体三极管作开关应用时一般工作在输出特性曲线的 饱和 区和 截止 区。 7.TTL 三态门的输出有三种状态:高电平、低电平和 状态。 8. 集 电极开路门的英文缩写为 OC 门,工作时必须外加 和 。 9.一个2线-4线译码器,其输入端的数目与输出端数目相比较,后者较 。 10. 输出n 位代码的二进制编码器,一般有 __________个输入信号端。 11.全加器是指能实现两个加数和____________三数相加的算术运算逻辑电路。 12.时序逻辑电路的输出不仅与 当前输入状态 有关,而且与 输出的原始状态 有关。 13.与非门构成的基本RS 锁存器的特征方程是 S+ n Q R ,约束条件是 。 14.时序逻辑电路中,按照触发器的状态是否同时发生变化可分为 和 。 15.JK 触发器当J =K =________时,触发器Q n+1=Q n 。 16.用555定时器构成的多谐振荡器,若充放电回路中有电阻、电容,则该多谐振荡器形成的脉冲周期T ___0.7(R1+2R2)C ____。 17.A/D 转换需要经过 采样 、 保持 、 量化 和 编码 四个步骤。 18.根据D/A 转换器分辨率计算方法,4位D/A 转换器的分辨率为 6.7% 。 19.DAC 的转换精度包括 分辨率 和 转换误差 。 20.为使采样输出信号不失真地代表输入模拟信号,采样频率f s 和输入模拟信号的最高频率f i max 的关系是 。 21.在A/D 转换时,将一个时间上连续变化的模拟量转换为时间上离散的模拟量的过程称采样。 22.在A/D 转换中,用二进制码表示指定离散电平的过程称为 量化 。 23.CPLD 的含义是 。 二、选择题 1. 十进制数85转换为二进制数为( ) A .1001011 B .1010011 C .1100101 D .1010101 2. 二进制数11011转换为十进制数为( ) A .32 B .27 C .64 D .128 4. 8421BCD 码110011.001表示十进制为( ) A .33.2 B .51.0125 C .63.2 D .51.2 5.在下列一组数中,与2)111001(相等的数是( ) A .16)34( B .(65)8 C . 10 )57(

数电实验报告1-数电实验报告实验一

实验一门电路逻辑功能及测试 一、实验目得 1、熟悉门电路逻辑功能。 2、熟悉数字电路学习机及示波器使用方法。 二、实验仪器及材料 1、双踪示波器 2、器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86二输入端四异或门1片 74LS04 六反相器1片 三、预习要求 1、复习门电路工作原理相应逻辑表达示。 2、熟悉所有集成电路得引线位置及各引线用途。 3、了解双踪示波器使用方法。 四、实验内容 实验前按学习机使用说明先检查学习机就是否正常,然后选择实验用得集成电路,按自己设计得实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电。试验中改动接线须先断开电源,接好线后在通电实验。 1、测试门电路逻辑功能。 (1)选用双输入与非门74LS20一只,插入面包板,按图 连接电路,输入端接S1~S4(电平开关输入插口),输 出端接电平显示发光二极管(D1~D8任意一个)。 (2)将电平开关按表1、1置位,分别测出电压及逻辑状态。(表1、1)

2、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A﹑B﹑Y接电平显示发光二极管。 (2)将电平开关按表1、2置位,将结果填入表中。 表1、2 3、逻辑电路得逻辑关系

(1)选用四二输入与非门74LS00一只,插入面包板,实验电路自拟。将输入输出逻辑关系分 别填入表1、3﹑表1、4。 (2)写出上面两个电路得逻辑表达式。 表1、3 Y=A ⊕B 表1、4 Y=A ⊕B Z=AB 4、逻辑门传输延迟时间得测量 用六反相器(非门)按图1、5接线,输80KHz 连续脉冲,用双踪示波器测输入,输出相位差,计算每个门得平均传输延迟时间得tpd 值 : tpd =0、2μs/6=1/30μs 5、利用与非门控制输出。 选用四二输入与非门74LS00一只,插入面包板,输入接任一电平开关,用示波器观察S对输出脉冲得控制作用: 一端接高有效得脉冲信号,另一端接控制信号。只有控制信号端为高电平时,脉冲信号才能通过。这就就是与非门对脉冲得控制作用。 6.用与非门组成其她门电路并测试验证 (1)组成或非门。 用一片二输入端与非门组成或非门 Y = A+ B = A ? B 画出电路图,测试并填表1、5 中。 表1、5 图如下: (2)组成异或门 ① 将异或门表达式转化为与非门表达式。 A ⊕B={[(AA)'B]'[A( B B)']}' ② 画出逻辑电路图。 ③ 测试并填表1、6。表1、6

数字电路试题及答案汇编

数字电路试题 一、单项选择题 1、以下代码中为无权码的为 ( ) A . 8421BCD 码 B . 5421BCD 码 C . 余三码 D .2421BCD 码 2、图示逻辑电路的逻辑式为 ( ) A .F=C B A ++ B .F= C B A ++ C .F=C B A D .F=ABC 3、下列关于异或运算的式子中,不正确的是 ( ) A .0A A =⊕ B . 1A A =⊕ C .A 0A =⊕ D .A 1A =⊕ 4、一个n 变量的逻辑函数应该有 个最小项 ( ) A .n B .n 2 C .n 2 D .2 n 5、若编码器中有50个编码对象,则要求输出二进制代码位数为 位。 ( ) A .5 B .6 C .10 D .50 6、在下列逻辑电路中,不是组合逻辑电路的是 。 ( ) A .译码器 B .编码器 C .全加器 D .寄存器 7、欲使JK 触发器按01 =+n Q 工作,可使JK 触发器的输入端 。 ( ) A .1==K J B .Q J =,Q K = C .Q J =,Q K = D .0=J ,1=K 8、同步时序电路和异步时序电路比较,其差异在于两者 。 ( ) A .没有触发器 B .是否有统一的时钟脉冲控制 C .没有稳定状态 D .输出只与内部状态有关 9、8位移位寄存器,串行输入时经 个脉冲后,8位数码全部移入寄存器中。 ( ) A .1 B .2 C .4 D .8 10、555定时器D R 端不用时,应当 。 ( ) A .接高电平 B .接低电平 C .通过F μ01.0的电容接地 D .通过小于Ω500的电阻接地 二、填空题 1、当传送十进制数5时,在8421奇校验码的校验位上值应为 。 2、(35.625)10=( )2=( )8=( )16 3、用反演律求函数D A D C ABC F ++=的反函数(不用化简)=F 。 4、消除竟争冒险的方法有 、 、 等。 5、触发器有 个稳态,存储8位二进制信息要 个触发器。 1 & A B C F 11

阎石数字电路课后答案第一章习题答案

第一章 二进制到十六进制、十进制 (1)()2=(97)16=(151)10 (2)(1101101)2=(6D)16=(109)10 (3)2=16=(0.)10 (4)2=16=10 十进制到二进制、十六进制 (1)(17)10=(10001)2=(11)16 (2)(127)10=(1111111)2=(7F)16 16 21016210)3.19()1010 1(11001.101(25.7)(4))A D7030.6()0101 0000 0111 1101 0110 (0.0110(0.39)(3) B 用公式化简逻辑函数 (1)Y=A+B (3)Y=1 ) =+(解:1A A 1)2( C B A C C B A C B Y C B A C B A Y AD C C B AD C B C B AD D C A AB D CD B A Y )()(Y )4(解: (5)Y=0 (7)Y=A+CD E ABCD E C ABCD CE AD B BC CE AD B BC Y CE AD B BC B A D C AC Y )()()() ()()6(解: C B A C B C B A A C B A C B A C B A C B C B A A C B A C B A C B A Y C B A C B A C B A Y )() )(())()(() )()((8解:)( D A D A C B Y )9( E BD E D B F E A AD AC Y )10( (a) C B C B A Y (b) C B A ABC Y (c) ACD D C A D C A B A Y D AC B A Y 21, (d) C B A ABC C B A C B A Y BC AC AB Y 21, 1.10 求下列函数的反函数并化简为最简与或式 (1)C B C A Y (2)D C A Y C B C B AC C B AC B A BC AC C A B A BC AC C A B A Y BC AC C A B A Y ))((]))([())(())(()3(解: (4)C B A Y D C AB D C B D C A D C B D A C A C D C B C A D A Y C D C B C A D A Y )() )(())()(()5(解: (6)0 Y 1.11 将函数化简为最小项之和的形式 C B A C B A ABC BC A C B A C B A C B A ABC BC A C B A A C B B A BC A C B AC BC A Y C B AC BC A Y )()()1(解: D C B A CD B A D C B A ABCD BCD A D C B A Y )(2

数电习题及答案

一、 时序逻辑电路与组合逻辑电路不同, 其电路由 组合逻辑电路 和 存储电路(触发器) 两部分组成。 二、描述同步时序电路有三组方程,分别是 驱动方程 、状态方程 和 输 出方程 。 三、时序逻辑电路根据触发器的动作特点不同可分为 同步时序逻辑电路 和 异步时序逻辑电路 两大类。 四、试分析图 T7.5时序电路的逻辑功能,写出电路的驱动方程、状态方程 和输出方程,画出电路的状态转换图和时序图。 解:驱动方程: 00110 1J K J K Q ==== 状态方程: 1001 1 10 10n n Q Q Q Q Q Q Q ++==+ 输出方程: 10Y Q Q = 状态图:功能:同步三进制计数器

五、试用触发器和门电路设计一个同步五进制计数器。 解:采用3个D触发器,用状态000到100构成五进制计数器。 (1)状态转换图 (2)状态真值表 (3)求状态方程

(4)驱动方程 (5)逻辑图(略) [题7.1] 分析图P7.1所示的时序电路的逻辑功能,写出电路驱动方程、状态转移方程和输出方程,画出状态转换图,并说明时序电路是否具有自启动性。 解:触发器的驱动方程 2 0010210 10 21 1 J Q K J Q J Q Q K Q K ====???? ? ? ==??? 触发器的状态方程

1 20 0 1 10 101 1 2 210 n n n Q Q Q Q Q Q Q Q Q Q Q Q + + + = =+ = ? ?? ? ? ?? 输出方程 2 Y Q = 状态转换图如图A7.1所示 所以该电路的功能是:能自启动的五进制加法计数器。 [题7.3] 试分析图P7.3时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,并检查电路能否自启动。 解:驱动方程 输出方程 状态方程 状态转换图如图 A7.3所示 01 J X Q =⊕01 K= 10 J X Q =⊕ 1 1 K= 10 () Z X Q Q =⊕? 1 0000010 () n Q J Q K Q X Q Q +=+=⊕ 1 1111101 () n Q J Q K Q X Q Q +=+=⊕?

相关文档