文档库 最新最全的文档下载
当前位置:文档库 › 2017年度下半年(数字逻辑)作业

2017年度下半年(数字逻辑)作业

2017年度下半年(数字逻辑)作业
2017年度下半年(数字逻辑)作业

2017年度下半年《数字逻辑》作业

1、将(29.25)10转换成二进制数,写出转换演算过程。

解:∴(29)10=1110122723212余1=a00142余0=a1余1=a2余1=a3余1=a4数制间的转换练习

(0.25)10×2=0.5整数=0=a-1MSB0.510×2=1.0整数=1=a-2LSB即(0.25)10=(0.01)2由上两题可得(29.25)10=(11101.01)2

2、详细写出组合逻辑电路的分析步骤?组合逻辑电路的设计步骤?

解:

分析步骤:

1.根据给定的逻辑图,从输入到输出逐级写出逻辑函数式;

2.用公式法或卡诺图发化简逻辑函数;

3由已化简的输出函数表达式列出真值表;

4从逻辑表达式或从真值表概括出组合电路的逻辑功能.

设计步骤:

1仔细分析设计要求,确定输入、输出变量.

2对输入和输出变量赋予0、1值,并根据输入输出之间的因果关系,列出输入输出对应关系表,即真值表.

3根据真值表填卡诺图,写输出逻辑函数表达式的适当形式.

4画出逻辑电路图. 并测试逻辑功能

3、试设计一个裁判表决器。假设在某举重比赛场上有三位裁判官,其中有一位主判官,两位副判官,当运动员举重完成后,由判官按下自己面前的按钮来决定该运动员这一把是否成功。若有两位或两位以上判官通过(其中一位必须是主判官),则表明这位运动员这一把成功。现试用逻辑电路来完成该表决器。

解:

一、确定输入、输出变量

①输入变量:A、B、C ―――→三名评判员(其中A为主评判员)

②输出变量:Y ―――→灯

③用正逻辑表示:A=1,表示同意,A=0表示判不同意;

B=1,表示同意,B=0表示判不同意;

C=1,表示同意,C=0表示判不同意.

Y=1,表示灯亮,Y=0表示灯不亮.

二、列出真值表

输入变量输出变量

A B C Y

0 0 0 0

0 0 1 0

0 1 0 0

0 1 1 0

1 0 0 0

1 0 1 1

1 1 0 1

1 1 1 1

三、写出函数表达式并化简

1、根据真值表写出函数表达式

——

Y=ABC+ABC+ABC

2、对表达式进行化简

可能出现的结果:Y=AB+AC

Y=A(B+C)

四、画逻辑电路图

根据化简的表达式画出对应的逻辑电路图.

4、用卡诺图法化简函数:F(A,B,C,D)= )15,13,11,7,5,4,3,0(m

解:

1.画卡诺图

2.填最小项

3.圈图,写答案F=BD+CD+A'C'D'

5.分析图所示的逻辑电路图,写出逻辑表达式并进行简化;列出其真值表。

A

B

F

解:根据逻辑图写出输出逻辑函数表达式:

B

A B A B A B A B B A A AB B AB A AB B AB A F ⊕=+=+++=?+?=???=)()(

根据函数表达式作出真值表如表3-1所示:

表3-1真值表

根据函数表达式和真值表可知逻辑图的功能相当于一个异或门,如果A 、B 相同,

则F 输出为0;A 、B 不相同时,则F 输出为1。

6.分析图所示的时序逻辑电路的逻辑功能,写出电路的激励方程、输出方程和次态方程。

时序电路图

解:根据对电路的观察和电路组成的分析,该电路是米里型电路。 ⑴由给定电路图写出电路的激励方程

???⊕⊕==212

1

1Q Q A D Q D

⑵由给定的电路图写出输出出方程

21212121Q Q A Q Q A A Q Q Z +=?= ⑶由给定的电路与D 触发器的特征征方程,得到电路的次态方程

?????⊕⊕====++2

12121

111Q Q A D Q Q D Q n n

⑷根据上面三个方程式,可建立状态转移表,如表4-5所示。

表4-5 状态转移表

设状态a=n Q 2n Q 1=00,状态b=n

Q 2n Q 1=01,状态c=n Q 2n Q 1=10,状态d=n Q 2

n Q 1=11,则得到图4-18所示中的状态表。表中第一列为现态PS 的四种可能状态;表中右边两列表示在相应的输入A 和现态下,并且在CP 脉冲作用下所建立的次态NS 及产生的输出Z 。为了更清楚地表示出时序电路的状态变化规律,根据状态表画出状态图,如图4-18中右边所示,箭头线的旁边标注表示输入/输出。由图可知,当输入A 为0时,则每来一个CP 脉冲,电路状态将沿着a d c b a →→→→的途径变化一次,且在由d 变为a 时产生一个1输出;反之,当输入A=1时,则每来一个CP 脉冲,电路状态将沿着a b c d a →→→→的途径变化一次,且由a 变为d 时产生一个1输出。

0/0

0/1

状态表

图4-18 状态表和状态图

⑸说明时序电路的逻辑功能。

实际应用中一个逻辑电路的输入和输出都有一定的物理含义。由状态表和状态图可分析得到,当输A=0时,电路是一个加法计数器,在时钟信号CP 的连续作用下,Q 2Q 1的数值从00到11递增。如果从Q 2Q 1=00状态开始加入时钟信号,则Q 2Q 1数值可以表示输入的时钟脉冲数目。当A=1时,电路是一个减法计数器,在连续加入时钟脉冲时,Q 2Q 1的数值是从11到00递减的。

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A.500KHz B.200KHz C.100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装

数字逻辑设计试题中文+答案

2003数字逻辑考题 一 填空题 (每空1分,共15分) 1 [19]10=[ 11010 ]Gray (假设字长为5bit ) 2 若X=+1010,则[X]原=( 00001010 ),[-X]补=( 11110110 ),(假设字长为8bit ) 3 [26.125]10=[ 1A.2 ]16=[ 00100110.000100100101 ]8421BCD 4 65进制的同步计数器至少有( 7 )个计数输出端。 5 用移位寄存器产生11101000序列,至少需要( 3 )个触发器。 6 要使JK 触发器按'*Q Q =工作,则JK 触发器的激励方程应写为(1,1 );如果用D 触发器实现这一转换关系,则D 触发器的激励方程应写为( Q ’ )。 7 在最简状态分配中,若状态数为n ,则所需的最小状态变量数应为([log 2n] )。 8 有n 个逻辑变量A ,B ,C ….W ,若这n 个变量中含1的个数为奇数个,则这n 个变量相异或的结果应为( 1 )。 9 一个256x4bit 的ROM 最多能实现( 4 )个( 8 )输入的组合逻辑函数。 10 一个EPROM 有18条地址输入线,其内部存储单元有( 218 )个。 11 所示CMOS 电路如图Fig.1,其实现的逻辑函数为F=( A NAND B (AB)' ) (正逻辑)。 二 判断题 (每问2分,共10分) 1 ( T )计数模为2n 的扭环计数器所需的触发器为n 个。 2 ( F )若逻辑方程AB=AC 成立,则B=C 成立。 3 ( F )一个逻辑函数的全部最小项之积恒等于1。 4 ( T )CMOS 与非门的未用输入端应连在高电平上。 5 ( F )Mealy 型时序电路的输出只与当前的外部输入有关。 Fig.1 三 (16分) 1 化简下列函数(共6分,每题3分) 1) ()()∑=15,13,11,10,9,8,7,3,2,0,,,m D C B A F 2) ()()()∑∑+=14,5,3,013,12,10,8,6,1,,,d m D C B A F F +E D

数字逻辑课程三套作业及答案

数字逻辑课程三套作业及答 案 -标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

数字逻辑课程作业_A 一、单选题。 1.(4分)如图x1-229 (D)。 A. (A) B. (B) C. (C) D. (D) 知识点:第五章 解析第五章译码器 2.(4分)如图x1-82 (C)。 A. (A) B. (B) C. (C) D. (D) 知识点:第二章 解析第二章其他复合逻辑运算及描述 3.(4分)N个触发器可以构成最大计数长度(进制数)为(D)的计数器。 A. N B. 2N C. N2次方 D. 2N次方 知识点:第九章 解析第九章计数器

4.(4分)n个触发器构成的扭环型计数器中,无效状态有(D)个。 A. A. n B. B.2n C. C.2n-1 D. D.2n-2n 知识点:第九章 解析第九章集成计数器 5.(4分)如图x1-293 (A)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 6.(4分)如图x1-317 (D)。 A. (A) B. (B) C. (C) D. (D)

知识点:第二章 解析第二章其他复合逻辑运算及描述 7.(4分)EPROM是指(C)。 A. A、随机读写存储器 B. B、只读存储器 C. C、光可擦除电可编程只读存储器 D. D、电可擦可编程只读存储器 知识点:第十章 解析第十章只读存储器 8.(4分)如图x1-407 (B)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 9.(4分)为实现将JK触发器转换为D触发器,应使(A)。

《数字逻辑》考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) A.10 101 B.0010 0101 C.100101 D.10101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C )

A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( A ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [] )E D (C B A F +?+?= 13.组合逻辑电路一般由( A )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( A )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( A ) 。 A. AB+AC+AD+AE B. A+BCED C. (A+BC)(A+DE) D. A+B+C+D+E 16.下列逻辑电路中,不是组合逻辑电路的有( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 17.逻辑表达式A+BC=( C )

2011数字逻辑设计大作业题目

数字逻辑设计大作业题目 说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,不能超过3人。 题目1:电子密码锁的设计 [设计要求] (1)设计一个开锁密码至少为4位数字(或更多)的密码锁。 (2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿 灯亮、红灯灭表示开锁状态。 (3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 (4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。 注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等) 题目2:乒乓球比赛模拟机的设计 乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。 [设计要求] (1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球” 的移动速度可以调节。 (2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,

表示未击中或违规,则对方得一分。 (3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (5)能显示发球次数。 注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等) 题目3:象棋快棋赛电子裁判计时器的设计 说明:象棋快棋赛规则是,红、黑双方对奕时间累计均为三分钟,超时判负。[设计要求] (1)甲乙双方的计时器为一个秒时钟,双方均用3位数码管显示,预定的初值均为三分钟,采用倒计时方式。通过按扭启动,由本方控制对方,比如甲方走完一步棋后必须按一次甲方的按键,该按键启动乙方倒计时。同理,乙方走完一步棋后必须按一次乙方的按键,该按键启动甲方倒计时。 (2)超时能发出报警判负。 (3)累计时间设置可以改变。 注:附加功能根据本人能力自行添加 题目4:出租车计费器的设计 汽车在行驶时,里程传感器将里程数转换成与之成正比的脉冲个数,然后由计数译码电路变成收费金额。每行驶1公里,里程传感器输出一个脉冲信号,即10个脉冲/公里。 [设计要求] (1)设计制作自动计费器,金额总数包括行车里程计费、等车时间计费和起步价三部分,金额用数码管显示。 (2)里程单价设2.1元/公里,等车单价为0.6元/10分钟,起步价设为5元(参考)

数字逻辑电路期末考试卷及答案

- - 优质资料 期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷考试时间:110 分钟 XXXX 学院 ______________系级班 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F =B . C AB F += C .C A AB F += D .C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D .BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 得分 评卷人 装 订 线 内 请 勿 答 题

- 优 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++=功能相等的表达式为___C_____。 A .D C B A F +++=D C B A F +++= .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz

数字逻辑第一次大作业

数字逻辑第一次大作业

一.“七段数码管字形发生器”真值表(支持共阴极,1亮0灭) 输入变量输出变量数码管显 示 A B C D a b c d e f g 0000 1111110 0 000 1 0110000 1 0010 110110 1 2 001 1 111100 1 3 0100 011001 1 4 010 1 101101 1 5 0110 101111 1 6 011 1 1110000 7 1000 111111 1 8 100 1 111101 1 9 1010 111011 1 A 101 1 001111 1 B 1100 1001110 C 110 1 011110 1 D 1110 100111 1 E 111 1 100011 1 F 二.卡诺图化简: A B C D a 0000 1 000 1 0 0010 1 001 1 1 0100 0 010 1 1 0110 1 011 1 1 1000 1 100 1 1 1010 1 101 1 0 1100 1 110 1 0 1110 1 AB CD 00 01 11 10 00 1 0 1 1 01 0 1 0 1 11 1 1 1 0 10 1 1 1 1 Fa=B?D?+A?BD+A B?C?+A?C+BC+A D?

111 1 1 A B C D b 0000 1 000 1 1 0010 1 001 1 1 0100 1 010 1 0 0110 0 011 1 1 1000 1 100 1 1 1010 1 101 1 0 1100 0 110 1 1 1110 0 111 1 0 A B C D c 0000 1 000 1 1 0010 0 001 1 1 0100 1 010 1 1 0110 1 011 1 1 1000 1 100 1 1 1010 1 101 1 1 1100 0 110 1 1 1110 0 111 1 0 AB CD 00 01 11 10 00 1 1 0 1 01 1 0 1 1 11 1 1 0 0 10 1 0 0 1 Fb=B?D?+B?C?+A?C?D?+A?CD+A C?D AB CD 00 01 11 10 00 1 1 0 1 01 1 1 1 1 11 1 1 0 1 10 0 1 0 1 Fc=A?C?+A?D+A?B+A B?+C?D

2017年(数字逻辑)作业-华工

2017年度下半年《数字逻辑》作业 1、将(29.25)10转换成二进制数,写出转换演算过程。 解:∴(29)10=1110122723212余1=a00142余0=a1余1=a2余1=a3余1=a4数制间的转换练习(0.25)10×2=0.5整数=0=a-1MSB0.510×2=1.0整数=1=a-2LSB即(0.25)10=(0.01)2由上两题可得(29.25)10=(11101.01)2 2、详细写出组合逻辑电路的分析步骤?组合逻辑电路的设计步骤? 解: 分析步骤: 1.根据给定的逻辑图,从输入到输出逐级写出逻辑函数式; 2.用公式法或卡诺图发化简逻辑函数; 3由已化简的输出函数表达式列出真值表; 4从逻辑表达式或从真值表概括出组合电路的逻辑功能. 设计步骤: 1仔细分析设计要求,确定输入、输出变量. 2对输入和输出变量赋予0、1值,并根据输入输出之间的因果关系,列出输入输出对应关系表,即真值表. 3根据真值表填卡诺图,写输出逻辑函数表达式的适当形式. 4画出逻辑电路图. 并测试逻辑功能 3、试设计一个裁判表决器。假设在某举重比赛场上有三位裁判官,其中有一位主判官,两位副判官,当运动员举重完成后,由判官按下自己面前的按钮来决定该运动员这一把是否成功。若有两位或两位以上判官通过(其中一位必须是主判官),则表明这位运动员这一把成功。现试用逻辑电路来完成该表决器。 解: 一、确定输入、输出变量 ①输入变量:A、B、C ―――→三名评判员(其中A为主评判员) ②输出变量:Y ―――→灯 ③用正逻辑表示: A=1,表示同意,A=0表示判不同意; B=1,表示同意,B=0表示判不同意; C=1,表示同意,C=0表示判不同意. Y=1,表示灯亮,Y=0表示灯不亮. 二、列出真值表 输入变量输出变量 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 1

《数字逻辑》期末考试A卷参考答案

《数字逻辑》期末考试 A 卷参考答案 、判断题:下面描述正确的打’/,错误的打‘X’(每小题1分,共10 分) 1、为了表示104个信息,需7位二进制编码[V ] 2、BCD码能表示0至15之间的任意整数[X ] 3、余3码是有权码[X ] 4、2421码是无权码[X ] 5、二值数字逻辑中变量只能取值 6、计算机主机与鼠标是并行通信 7、计算机主机与键盘是串行通信8、占空比等于脉冲宽度除于周期0和1,且表示数的大小[X ] [X ] [V ] [V ] 9、上升时间和下降时间越长,器件速度越慢[V ] 10、卡诺图可用来化简任意个变量的逻辑表达式[X ] 、写出图中电路的逻辑函数表达式。(每小题5分,共10分) 1、F=A B 2、F= AB CD 2分,共20分)

1、在图示电路中.能实现逻辑功能F = ATH 的电路是 A ° TTL 电路 (A) F = ABCD (B) F = AH ? CD - (C) F= A + B + C + D (D) F = A + B ? C + D (E) F= A BCD 4 . 己知F 二ABC + CD ■可以肯定使F = 0的情况是 _° (A) A=0, BC= 1; (B) B= 1 , C= 1 } (C) AB= 1, CD=Q. (D) BC= 1 , D= 1 5、逻辑函数A B+BCD+A C+ B C 可化简为A,B,C,D 。 (A) AB + AC + BC (B) AB + C (A4-B) (C) AB + CAB A — O ? =1 1 Q A |— I 1 F ?- & 1 Q A B L I l — (B) 悬0 ---- 空 。— A — & Bo — Co- & B A 3 ?满足如图所示电路的输岀函数F 的表达式为丄3 B 1 o — V OF

《数字逻辑电路》期末大作业实验报告

大连外国语大学软件学院 1数字逻辑电路概述 数字逻辑是数字电路逻辑设计的简称,其内容是应用数字电路进行数字系统逻辑设计。电子数字计算机是由具有各种逻辑功能的逻辑部件组成的,这些逻辑部件按其结构可分为组合逻辑电路和时序逻辑电路。组合逻辑电路是由与门、或门和非门等门电路组合形成的逻辑电路;时序逻辑电路是由触发器和门电路组成的具有记忆能力的逻辑电路。有了组合逻辑电路和时序逻辑电路,再进行合理的设计和安排,就可以表示和实现布尔代数的基本运算。 数字逻辑电路有易于集成、传输质量高、有运算和逻辑推理能力等优点,因此被广泛用于计算机、自动控制、通信、测量等领域。一般家电产品中,如定时器、告警器、控制器、电子钟表、电子玩具等都要用数字逻辑电路。 (阐述数字逻辑的现状、目的、意义、功能、方法及作用)2第一种数字逻辑电路 方法原理及功能 数据选择器又称为多路开关,是一种重要的组合逻辑器件,它可以实现从多路数据中选择任何一路数据输出,选择的控制由专门的端口编码决定,称为地址码,数据选择器可以完成很多的逻辑功能,例如函数发生器、桶形移位器、并串转换器、波形产生器等。 1、与非门实现二选一数据选择器: 用一种74SL153及门电路设计实现一位全加器,输入用三个单刀双掷开关分别代表A、B、C,输出用两个指示灯分别代表L1、L1。 设计过程与结果(描述方法的操作过程和结果,配截图详细介绍) 在元件库中单击TTL,再单击74LS系列,选中74LS153D。

仿真结果实际结果 L 1 亮单独打开开关A,B,C时; L1灯泡亮 L 2 亮任意打开两个开关; 灯泡L2亮

L 1 和 L 2 都 亮 同时打开开关A,B,C时; 灯泡L1,L2同时亮。 心得体会 经过许多次的失败,在不断尝试中选择一个适合的方式去解决问题,加强对电路的 理解。通过该实验可以培养我们的动手能力和对数字电路的理解。经检验,符合真值表, 达到数据选择的作用。74ls153为双四选一数据选择器,几多一个非门和或门可以组成 数据比较器。能更好的掌握相关芯片的知识,了解其用途。 失败电路一: 失败电路二:

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 一、选择题(每小题2分,共20分) 1.八进制(273)8中,它的第三位数2 的位权为___B___。 A.(128)10B.(64)10C.(256)10 D.(8)10 2. 已知逻辑表达式C B C A AB F+ + =,与它功能相等的函数表达式 _____B____。 A.AB F=B.C AB F+ = C.C A AB F+ =D.C B AB F+ = 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。 A.与关系B.异或关系C.同或关系D.无法判断 5.连续异或1985个1的结果是____B_____。 A.0B.1 C.不确定D.逻辑概念错误 6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 A.D C B A F+ + + =B.D C B A F+ + + = C.D C B A F=D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 B A F & ? F B A &

8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。 A. 0100100 B.1100011 C. 1011011 D.0011011 二、填空题(每小题2分,共20分) 11.TTL电路的电源是__5__V,高电平1对应的电压范围是__2.4-5____V。 12.N 个输入端的二进制译码器,共有___N2____个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。

数字逻辑大作业—电子密码锁

HARBIN INSTITUTE OF TECHNOLOGY 电子密码锁电路设计 课程名称:数字逻辑 学生所在院(系):计算机学院 学生所在专业:计算机科学与技术 小组成员:于志睿1130310717 贾明达J130310701 李家兴1130310714 小组项目:电子密码锁电路设计 任课教师:张彦航 成绩: 2014 年12 月11日

目录 1、设计目的及要求 2、工作原理、系统方框图 3、各部分选定方案及电路组成、相关器件说明 4、调试过程 5、设计结论 6、设计心得与总结 7、参考文献 附录一:总体器件表及相关器件的功能表、管脚分布附录二:总体设计图 附录三:仿真结果 附录四:小组各成员所做工作

1.设计目的及要求 题目:电子密码锁的设计 [设计要求] (1)设计一个开锁密码至少为4位数字(或更多)的密码锁。 (2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿 灯亮、红灯灭表示开锁状态。 (3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 附加功能:可以设置密码,通过设置密码按钮SP(set password)来设置,且只能在初始时设置密码,设置好之后 就会锁住存储端不让重新设置。输入密码是要先按输入密码的 按钮IP(in password),然后输入密码。密码输入最多2次, 超过2次就关锁。 2.工作原理、系统方框图 按照设计的要求,输入端有10个密码输入端,一个设置密码的按钮和一个输入密码的按钮。输出端有显示密码是否正确的灯(一个黄灯闪烁表示密码设置好了,绿灯亮表示密码输入正确,红灯亮表示关锁状态)和倒计时的显示端(用七段数码管实现)。 如下图所示:

数字逻辑第一章作业参考答案

第一章数字逻辑基础作业及参考答案 () P43 1-11 已知逻辑函数A C C B B A F+ + =,试用真值表、卡诺图和逻辑图表示该函数。解:(1)真值表表示如下: 输入输出 A B C F 0000 0011 0101 0111 1001 1011 1101 1110 (2)卡诺图表示如下: 00011110 0101 1111 由卡诺图可得C B C B A F+ + ==C B C B A? ? (3)逻辑图表示如下: 1-12 用与非门和或非门实现下列函数,并画出逻辑图。 解:(1)BC AB C B A F+ = ) , , (BC AB? = (2)) + (?) + ( = ) , , , (D C B A D C B A F D C B A+ + + = 题1-12 (1) 题1-12 (2) A BC

1-14 利用公式法化简下列函数为最简与或式。 解:(2)C AB C B BC A AC F +++=C AB C B BC A AC +??= C AB C B C B A C A ++?++?+=)()()( C AB C B C C B C A C A B A ++?++++=)()( C AB C C B C B C A C AB C A C B A C B A ++++++++= C AB C C B C B C A C AB C A C B A C B A ++++++++= C = 解(3)DE E B ACE BD C A AB D A AD F +++++++= DE E B BD C A A ++++= E B BD C A +++= 解(5)))()((D C B A D C B A D C B A F +++++++++= D C AB BCD A ABCD F ++=' D C AB BCD +=ABD BCD += D B AC D B A D C B F ++=)++)(++(=∴ P44 1-15利用卡诺图化简下列函数为最简与或式。 解:(3))+++)(+++)(+++)(+++(=D C B A D C B A D C B A D C B A F 方法1:)+++)(+++)(+++(=D C B A D C B A D C B A F ))((D C B A D CD D A D C C A D B C B B B A AD AC B A ++++++++++++++= ))((D C B A D C A B AC ++++++= D C BD AD D C A C A C B A D B C B B A D AC ABC AC +++++++++++= D C BD AD C A D B C B B A AC +++++++= 方法2:D C AB CD B A D BC A F ++= F 的卡诺图

(完整版)数字逻辑期末试卷(B卷)试题及答案

华东师范大学期末试卷(B) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑________ 学生姓名:___秦宽________________ 学 号:_2013041046__________________ 专 业:____软件工程_______ 年级/班级:13级软件工程 课程性质:公共必修、公共选修、专业必修、专业选修 一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 答案:(111 1101 1010)B = (7DA )H = (0010 0000 0001 0000)8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 答案:与逻辑 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 答案:))((C A B A F D ++= ∑=m F (5,6,7) 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 答案:'D A + 4. 从结构上看,时序逻辑电路的基本单元是 。 答案:触发器 5. JK 触发器特征方程为 。 答案:Q K JQ ''+ 6.A/D 转换的一般步骤为:取样,保持, ,编码。 答案:量化

二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 答案:B 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 答案:D 3. 为实现“线与”逻辑功能,应选用( )。 A) 与非门 B) 与门 C) 集电极开路(OC )门 D) 三态门 答案:C 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 答案:A 5. 在下列逻辑部件中,属于组合逻辑电路的是( )。 A) 计数器 B) 数据选择器 C) 寄存器 D) 触发器 答案:B 6. 已知某触发器的时钟CP ,异步置0端为R D ,异步置1端为S D ,控制输入端V i 和输出Q 的波形如图2所示,根据波形可判断这个触发器是( )。 B C

哈工大数字逻辑大作业

汽车尾灯控制器 信安一班 1150320101-孙晨1150810613-李秋豪1152210121-路祥鹏 (按笔画顺序排列) 注:任何人可以自由的复制、修改、分发本文。但是如果您的版本中含有附录的参考图片:1.在用于非商业、非盈利、非广告性目的时需注明作者及出处“百度百科”。2.在用于商业、盈利、广告性目的时需征得作者同意,并注明作者姓名、授权范围及出处“百度百科”。GMT+8 2016-12-07 20:20

一、目录 设计要求------------------------------------------------------------- 3 工作原理,系统方框图----------------------------------------------- 3 各部分选定方案及说明----------------------------------------------- 5 总体设计图与仿真结果----------------------------------------------- 9 设计心得与总结------------------------------------------------------ 17 参考文献------------------------------------------------------------- 17 附录:总体器件表及其功能表、管脚分布----------------------------- 18

二、设计要求 设计一个汽车尾灯控制器。汽车尾部左右两侧各有3个指示灯。根据汽车运行情况,指示灯有4+2种显示模式: (1)汽车正向行驶,所有指示灯全部熄灭。 (2)汽车右转弯,右侧的三个指示灯按右循环模式顺序点亮。 (3)汽车左转弯,左侧的三个指示灯按左循环模式顺序点亮。 (4)临时刹车,左右两侧的指示灯同时处于闪烁状态。 (5)倒车状态,右侧的三个指示灯按右循环模式顺序点亮,同时左侧的三个指示灯按左循环模式顺序点亮。 (6)故障状态,所有灯全亮且不闪烁。 三、工作原理,系统方框图 大致原理:(详细介绍见第四部分) 该器件有六种状态,所以应该使用三个开关状态L1、L2、L3来表达。 对于正常行驶状态,灯全灭,即对所有的灯给低电平。 对于汽车右转弯,右侧的三个指示灯按右循环模式顺序点亮,可以采取三进制计数器(十进制改造)+三八译码器来实现,其中三进制计数器的输出作为译码器的输入,译码器的输出决定三个右侧灯的亮暗。 对于汽车左转弯,原理同汽车右转弯的实现方法。 对于临时刹车,可以使用CP信号直接决定六个灯的亮暗,达到闪烁的目的。 对于故障状态,对所有的灯置高电平。

数字逻辑设计及应用 本科3 答案82870

. . 电子科技大学网络教育考卷(C 卷) (20 年至20 学年度第 学期) 考试时间 年 月 日(120分钟) 课程 数字逻辑设计及应用(本科) 教师签名_____ 大题号 一 二 三 四 五 六 七 八 九 十 合 计 得 分 一、填空题(每空1分,共20分) 1、10111012= 135 8= 5D 16= 1110011 格雷码 2、FF 16= 255 10= 001001010101 8421BCD = 010********* 余3码 3、已知某数的反码是1010101,则该数的对应的原码是 1101010 ,补码是 1101011 ; 4、逻辑运算的三种基本运算是 与或非 ; 5、一个逻辑在正逻辑系统下,表达式为B A +,则该逻辑在负逻辑系统下,表达式为 AB ; 6、逻辑式A /(B+CD /)的反演式为 A+B /(C /+D) ; 7、已知∑= )3,1,0(),,(m C B A F ,则∑=m F / ( 2,4,5,6,7 ) M ∏=( 2,4,5,6,7 ) ; 8、请问图1-8逻辑为Y= (AB)/ ; 9、n 选1的数据选择器的地址输入的位数为 log 2n(向上取整) 位,多路输入端得个数为 n 个; 10、如果用一个JK 触发器实现D 触发器的功能,已知D 触发器的输入 信号为D ,则该JK 触发器的驱动为: J=D;K=D / ; 11、如果用一个D 触发器实现T 触发器的功能,已知T 触发器的输入信号为T ,则该D 触发器的驱动为: T ⊕Q ; 12、如果让一个JK 触发器只实现翻转功能,则该触发器的驱动为: J=K=1 ; 13、利用移位寄存器实现顺序序列信号1001110的产生,则该移位寄存器中触发器的个数为: 大于或等于3 个; 二、选择题(每题1分,共10分) 1、以下有关原码、反码和补码的描述正确的是: ①.二进制补码就是原码除符号位外取反加1; ②.补码即是就是反码的基础上再加1; ③.负数的原码、反码和补码相同; ④.正数的原码、反码和补码相同; 2、下列逻辑表达式中,与D BC C A AB F / / / 1++=不等的逻辑是: ①./ / / BC C A AB ++ ②./ ///D BC C A AB ++ ③./ /C A AB + ④.BD C A AB ++/ / 3、已知门电路的电平参数如下:,,,,V 3.0V V 0.3V V 25.0V V 2.3V L I IH OL OH ≤≥≤≥请问其低电平的噪声容限为: ①. 0.05V ②. 0.2V ③. 2.95V ④. 2.7V 4、下列逻辑中,与/ A Y =相同的逻辑是: ①.1A Y ⊕= ②.0A Y ⊕= ③.A A Y ⊕= ④./ )A A (Y ⊕= 5、有如下所示波形图,已知ABC 为输入变量,Y 为输出变量,我们可以得到该逻辑的函数式为: ①.AC AB Y += ②.C B A Y ++= ③.C B A Y ??= ④./ / / C B A Y ++= 6、在同步状态下,下面哪种时序逻辑器件的状态更新仅仅发生在时钟触发沿来临的瞬间,并且状态更新的依据也仅仅取决于当时的输入情况: ①.锁存器 ②.电平触发的触发器 ③.脉冲触发的触发器 ④.边沿触发的触发器器 7、或非门所构成的SR 触发器的输入为S 和R ,则其工作时的约束条件为: ①.1R S =+ ②.0R S =? ③.0R S / / =+ ④.R S = 8、要实现有效状态数为8的扭环计数器,则所需移位寄存器中的触发器个数为: ①.8 ②.4 ③.3 ④.2 9、下面的电路,属于组合逻辑的电路是: ①.串行数据检测器 ②.多路数据选择器 ③.顺序信号发生器 ④.脉冲序列发生器 10、下面哪些器件不能够实现串行序列发生器 ①.计数器和组合门电路 ②.数据选择器和组合门电路 ③.移位寄存器和组合门电路 ④.触发器和组合门电路 姓名__________________ 专业名称__________________ 班号________________学号__________________教学中心_________________ …………………… …… … … … … …密………………… …… … … … … ……封……………… …… … … …线… … … …… … … …………………… 图1-8 图2-5

福师《数字逻辑》在线作业二答案

A. 周期 B. 占空 比 C. 脉宽 福师《数字逻辑》在线作业 一、单选题: 1. 一个无符号 4 位权电阻 DAC ,最低位处的电阻为 40K Ω, 则最高位处电阻为 ( ) 分: 2) A. 4K Ω B. 5K Ω C. 10K Ω D. 20K Ω 正确答案 :B 2. 74LS160 十进制计数器它含有的触发器的个数是 ( ) (满分: 2) A. 1 B. 2 C. 4 D. 6 正确答案 :C 3. CMOS 数字集成电路与 TTL 数字集成电路相比不具备的优点是 ( ). (满分: A. 微功耗 B. 高速度 C. 高抗干扰能 力 D. 电源范围宽 正确答案 :B 4. A+BC= ( ). A. A+B B. A+C 满分: 2) C. (A+B )(A+C ) D. B+C 正确答案 :C 5. 以下代码中为无权码的为 ( ). A. 8421BCD 码 B. 5421BCD 码 C. 余三码 D. 2421 码 满分: 2) 正确答案 :C 6. 下列逻辑电路中为时序逻辑电路的是 ( ) (满分: 2) A. 变量译码器 B. 加法器 C. 数码寄存器 D. 数据选择器 正确答案 :C 7. 不属于矩形脉冲信号的参数有 ( ). 满分: 2)

D. 扫描期正确答案:D 8. 在何种输入情况下,“或非”运算的结果是逻辑1( ). (满分:2) A .全部输入是0 B .全部输入是1 C . 任一输入为0 ,其他输入为1 D . 任一输入为1正确答案:A 9. 一片四位二进制译码器,它的输出函数有( ) (满分:2) A. 1 B. 8 C. 10 D. 16 正确答案:D 10. 欲设计0,1,2,3,4,5,6,7 这几个数的计数器,如果设计合理,采用同步二进制计数器,最少应使用( ) 级触发器(满分:2) A. 2 B. 3 C. 4 D. 8 正确答案:B 11. 和二进制数(1100110111.001) 等值的十六进制数学是( ) 。 (满分:2) A. 337.2 B. 637.2 C. 1467.1 D. c37.4 正确答案:A 12. 多谐振荡器可产生( ) (满分:2) A.正弦波 B.矩形脉 冲 C.三角波 D.锯齿波 正确答案:B 13. 在何种输入情况下,“与非”运算的结果是逻辑0( ). (满分:2) A .全部输入是0 B .任一输入是0 C .仅一输入是0 D . 全部输入是1正确答案:D 14. 8 位移位寄存器,串行输入时经( ) 个脉冲后,8 位数码全部移入寄存器中 (满分:2) A. 1 B. 2 C. 4

数字逻辑期末试题及答案

西 安 金 戈 技 术 学 校 2008年秋季学期期末考试数字逻辑电路试卷 注意事项 1. 考试时间:120 分钟。 2. 本卷依据2005年颁布的《技工类院校考试要求》命制。 3. 请首先按要求在试卷的规定位置填写您的姓名、准考证号和所在班级。 4. 请仔细阅读各种题目的回答要求,在规定的位置填写您的答案。 5. 不要在试卷上乱写乱画,不要在试卷上填写无关的内容。 一. 判断: 1.数字电路抗干扰能力强的原因是只传递1和0的数字信息.. ( ) 2.BCD 码就是8421BCD 码. ( ) 3.卡诺图是真值表示的另一种形式,具有唯一性. ( ) 4.二极管可组成与门电路,但不能组成或门电路. ( ) 5.三极管非门电路中的三极管主要工作在放大状态. ( ) 6.组合逻辑电路中有具有记忆功能的逻辑部件. ( ) 7.译码是编码的逆过程. ( ) 8.触发器也称为半导体存贮单元. ( ) 9.同步触发器存在空翻现象,而边沿型触发器和主从型触发器克服了空翻. 10.JK 触发器的JK 端相当KS 触发器的SR 端. ( ) 二.选择: 1.若编码器编码输出位数为4位,则最多可对( )个输入信号编码. A.4 B.6 C.16 D.32 2.16选1数据选择器其地址输入至少应有( )位. A.2 B.4 C.8 D.16 3.半加器有( ),全加器有( ) A.2个输入端,2个输出端 B.2个输入端,3个输出端 C.3个输入端,2个输出端 D.3个输入端,3个输出端 4.二极管可组成的电路( ) A.只能是与门 B.只能是或门 C.与门和或门都可以 D.非门 5.通常能实现“线与”功能的门电路是( ) A.OC 门 BTSL.门 C.TTL 与门 6.BCD 码是( ) A.二进制码 B.十进制码 C.二一十进制码 7.下列逻辑函数表示方法中,具有唯一性的是(多选)( ) A.真值表 B.逻辑表达式 C.逻辑图 D.卡诺图 8.下列选项中不属于卡诺图特点的是( ) … … ……… ……考……………生… ……… …答……………题……………不……………准……………超……………过……………此……………线…………………

相关文档
相关文档 最新文档