文档库 最新最全的文档下载
当前位置:文档库 › 数字逻辑B(任)

数字逻辑B(任)

数字逻辑B(任)
数字逻辑B(任)

中国海洋大学命题专用纸(首页)

中国海洋大学命题专用纸(附页)

数字逻辑课程设计课案教学总结

数字逻辑设计课程设计指导书 适用专业:计算机大类 湖北工业大学 计算机学院 2016年11月

目录 一、课程设计目的 (1) 二、课程设计要求 (1) 三、课程设计内容 (1) 四、设计报告的内容和要求 (3) 五、课程设计考核方法 (3) 附录一自选课题参考题目 (4) 一、数码管显示控制器 (4) 二、乒乓球游戏机 (4) 三、智力竞赛抢答器 (4) 四、数字钟 (4) 五、交通灯控制器 (5) 六、双钮电子锁 (5) 七、彩灯控制器 (5) 八、速度表 (5) 九、出租车计价器 (6) 十、自动奏乐器一 (6) 十一、自动奏乐器二 (6) 十二、自动打铃器 (6) 十三、算术运算单元ALU的设计 (7) 十四、游戏机 (7) 十五、16路数显报警器 (7) 十六、脉冲按键电话按键显示器 (7) 十七、病房呼叫系统 (8) 十八、自动电子钟 (8) 十九、具有数字显示的洗衣机时控电路 (8) 二十、篮球比赛数字计分牌 (8) 二十一、电子日历 (9) 二十二、设计模拟中央人民广播电台报时电路 (9) 二十三、数字跑表 (9) 二十四、汽车尾灯控制器 (9) 二十五、篮球竞赛30秒计时器 (9) 二十六、拔河游戏机控制器 (10) 附录二TTL集成电路型号命名规则 (11) 附录三部分TTL集成电路管脚排列图 (14)

一、课程设计目的 《数字逻辑课程设计》是计算机大类学生的必修课之一,是《数字逻辑》课程的一个重要的实践教学环节,它与理论教学和实验教学相结合,培养学生综合运用所学的基础理论和掌握的基本技能来解决实际问题的能力。 课程设计通过完成一个课题的理论设计和实际调试工作,即能加深对所学知识的理解,又能培养综合的实践技能,从而提高分析问题和解决问题的能力。训练学生综合运用学过的数字逻辑的基本知识,独立设计比较复杂的数字电路的能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。 二、课程设计要求 (一)教学要求 1.巩固和加深对数字逻辑各类型电路的设计方法及电子器件所构成电路的理解,并适当拓宽学生在电子线路领域的知识面。 2.初步掌握数字电路的设计、计算方法。能根据系统的技术指标,论证、拟订设计方案;选用合适的电路形式并进行工程计算及选择电路的元器件。 3.培养独立组织实验方案、正确选择使用实验仪器的能力,提高对功能电路和系统的安装调整、测试技术,以及综合运用所学理论知识解决实际问题的能力。(二)能力培养要求 1.通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。 2.通过实际电路方案的比较分析、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。 3.掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。 4.综合应用课程中学到的理论知识去独立完成一个设计任务。 5.培养严肃认真的工作作风和严谨的科学态度。 三、课程设计内容 从参考题目中自选1题进行资料查找和设计,具体课题及要求见附件1。 1.数码管显示控制器

数字逻辑与数字系统

数字逻辑与数字系统 课程设计 班级:计131 学号:201358501144 姓名:吴传文 指导教师:沙丽杰 2014年12月27~29日

目录 第一章功能简介 (2) 正常计时: (2) 整点报时: (2) 校时: (2) 暂停: (2) 清零: (2) 第二章总体结构框图 (2) 第三章各模块框图 (2) 第四章各模块VHDL程序 (3) 第五章仿真图 (9) 第六章下载检验 (10) 第七章心得体会 (10)

第一章功能简介 正常计时:秒(16)、分(16)、小时(12)计数;秒计时的频率为1Hz,数码管用动态扫描实时显示计时的秒、分、小时。 整点报时:逢整点蜂鸣器在“15”分钟的第11、13、秒发频率为512Hz的低音,在“15”分钟的第15秒发频率为1024Hz的高音。 校时:校小时(K1=1),显示小时数码管以4Hz的频率递增计数。 暂停:保持当前时间,暂态显示。 清零:清零当前时间,从零开始重新计时。 第二章总体结构框图 第三章各模块框图 1. 12和16进制框图 2. 动显及蜂鸣器

2 1 第四章各模块VHDL程序 libraryieee; use ieee.std_logic_1164.all; useieee.std_logic_unsigned.all; entity fenpin1 is port(clk:instd_logic; clr:instd_logic; y:out std_logic_vector(1 downto 0); co:outstd_logic); end fenpin1; architecture fp1 of fenpin1 is signal q: std_logic_vector(1 downto 0); begin process(clk) begin if(clr='0') then q<="00"; co<='0'; elsif(clk'event and clk='1') then if(q="01") then q<="00"; co<='1'; else q<=q+1; co<='0'; end if; end if; y<=q;

数字逻辑 数位逻辑

数位逻辑(基本概论) 一、是非题( 题每题 分共 分) ( ) 1. 所谓数位信号,是指自然界中,一切呈连续性变化的数量。 ( ) 2. 噪声边限愈小愈佳,表逻辑闸抗噪声能力愈强。 ( ) 3. SN74系列中,以高速型74H××最快。 ( ) 4. CMOS较ECL省电。 ( ) 5. CMOS工作电源一般约为+5V。 ( ) 6. CMOS抗噪声能力优于TTL。 ( ) 7. 扇出数愈大,表示逻辑闸推动负载的能力愈强。 ( ) 8. 如图所示为类比/数位转换器。 ( ) 9. 低准位动作(ACTIVE-LOW):当一个信号是低准位状态出现时,会使电路动作,高准位出现时,则不会使电路动作。 ( )10. 74系列TTL集成电路其电源电压范围为3~18V。 ( )11. CMOS逻辑族中,其不用之输入端可以不接,以简化电路板设计。 ( )12. 汽车转速表属于数位系统。 ( )13. 类比量和数位量之间最主要的差异是类比为不连续,而数位是连续的。 ( )14. 一个标准逻辑闸的输出,所能推动的标准逻辑闸数称为扇出数(fan-out)。 ( )15. TTL逻辑族74系列之正常供给电压可为4.75~5.25V。 ( )16. CMOS IC之耗电量较TTL IC为低。 ( )17. TTL IC中的74L00之功率耗损较74S00高。 ( )18. TTL IC中的74L00之传递延迟较74S00短。 ( )19. 非饱和型数位IC(如:ECL、CTL),由于工作在不饱和状态,所以交换速度比饱和型数位IC(如:DTL、TTL)迅速。 ( )20. TTL系列IC使用的电源电压是直流+5V,而噪声免疫力约为2.4V。 ( )21. CMOS IC比TTL IC具有低消耗功率、高密集度、高输入阻抗、工作电压范围宽广、噪声界限大及频率响应快速等特性。 ( )22. TTL IC逻辑状态0,其输入电压需在0.8V以下,输出电压需在0.4V以上。 ( )23. 所谓负逻辑系以0表示高电位,1表示低电位。 ( )24. TTL IC逻辑状态1,其输入电压需在2.0V以上,输出电压需在2.4V以上。 ( )25. 74XX系列TTL IC家族中又可分为74XX、74LXX、74HXX、74SXX、74LSXX等,其中交换速度最快者为74HXX。 ( )26. CMOS IC逻辑状态0,其输入电压需在0.3 V DD以下,输出电压约为Vss。 ( )27. CMOS IC逻辑状态1,其输入电压需在0.7 V DD以上,输出电压约为Vss。 ( )28. VLSI之电子元件是指超大型集成电路。 ( )29. 取样的信号是类比性的资料。 ( )30. CMOS IC输入端脚悬空,不但会感应噪声,而且会感应静电。 ( )31. 每个芯片中的元件介于1000~10000个之间,称为MSI。 ( )32. 74ALS00是高级低功率萧特基型反及闸IC。 ( )33. 74系列TTL IC的电源需求都是4.75~5.25V。 ( )34. 军用TTL IC的工作温度是-55~125℃。 二、单选题( 题每题 分共 分) ( ) 1. 假设一TTL逻辑族之最小高准位输入电压V IH,min=2V,最大低准位输入电压V IL,max=0.8V,最小高准位输出电压V OH,min=2.4V,最大低准位输出电压V OL,max=0.4V,试求其高态噪声边界V NH与低态噪声边界V NL值分别为何? (A)V NH=1.2V,V NL=2V (B)V NH=1.6V,V NL=1.6V (C)V NH=0.4V,V NL=0.8V (D)V NH=0.4V,V NL=0.4V。 ( ) 2. 下列TTL逻辑系列之速度关系,由快至慢依序排列,何者正确?(A)74H>74S>74L>74LS (B)74S>74H>74LS>74L (C)74S>74LS>74H>74L (D)74LS>74L>74S>74H。 ( ) 3. 数位电子学的范围包含哪些? (A)布林代数(B)组合逻辑(C)顺序逻辑(D)以上皆是。 ( ) 4. 下列何者不属于类比信号? (A)声音(B)影像(C)电报(D)温度。

《数字逻辑》课程实验教学大纲

《数字逻辑》课程实验教学大纲 一、课程基本信息 1.课程代码:BCim8014 2.课程名称:数字逻辑 3.课程英文名称:Digital Logic 4.课程性质:专业必修课 5.课程适用层次:本科 6.课程使用对象:计算机科学与技术专业 7.总学时:48学时(其中实验12学时) 8.学分:3 9. 先修课程:大学计算机基础、电子电路基础 二、课程概述 《数字逻辑》课程是计算机科学与技术专业基础课程,是计算机组成与结构、微机原理等硬件类课程的先导课程,它对理解计算机的工作原理有十分重要的作用。本课程使学生掌握数字逻辑方面的基本理论、基本知识和基本技能,具有分析数字逻辑电路方面的基本方法以及设计电路的能力,为后续计算机硬件类课程打下基础,也为深入理解计算机的工作原理提供理论及实践基础。 本课程的基本内容: 介绍逻辑设计的理论基础和逻辑电路的分析和设计方法,重点讲述组合逻辑电路和同步时序逻辑电路的分析和设计。 本课程的教学要求: 要求学生掌握数字逻辑的基本概念、基本理论、基本方法,具备一定的对逻辑电路的分析、设计和调试的能力。要求学生能以逻辑代数为工具,熟练掌握对各类组合电路、同步时序电路、异步时序电路的基本逻辑单元进行逻辑分析和设计,并在了解电子设计自动化的基础上,基本掌握数字系统的设计过程。 本课程的先修课是大学计算机基础、电子电路基础。 三、实践教学安排 第三章组合逻辑 实验学时:6 项目1:基本门电路的逻辑功能测试 实验学时:3 实验目的与要求: 1.测试与门、或门、非门、与非门、或非门与异或门的逻辑功能 2.熟悉扩展板与主电路板的连接与使用 3.了解测试的方法与测试的原理 实验主要仪器、设备: 1.数字逻辑电路实验箱 2.数字逻辑电路实验箱扩展板 3.双踪示波器,数字万用表 4.相应74LS系列、或74HC系列芯片

数字逻辑考题及答案

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、()8 =( )16 2、 10= ( )2 3、(FF )16= ( 255 )10 4、[X]原=,真值X= ,[X]补 = 。 5、[X]反=,[X]补= 。 6、-9/16的补码为,反码为 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。 4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分)

5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 1 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 8分 2、分析以下电路,其中X 为控制端,说明电路功能。(10分) 解:XABC C B A X ABC X C B A X C B A X C B A X F ++++?+?= 4分 )()(ABC C B A X C B A X F ++⊕⊕= 4分 所以:X=0 完成判奇功能。 X=1 完成逻辑一致判断功能。 2分

数字逻辑与数字集成电路习题

《数字逻辑》习题案例(计算机科学与技术专业、信息安全专业) 2004年7月 计算机与信息学院、计算机系统结构教研室

一、选择题 1.十进制数33的余3码为 。 A. 00110110 B. 110110 C. 01100110 D. 100100 2.二进制小数-0.0110的补码表示为 。 A .0.1010 B .1.1001 C .1.0110 D .1.1010 3.两输入与非门输出为0时,输入应满足 。 A .两个同时为1 B .两个同时为0 C .两个互为相反 D .两个中至少有一个为0 4.某4变量卡诺图中有9个“0”方格7个“1”方格,则相应的标准与或表达式中共有多少个与项 ? A . 9 B .7 C .16 D .不能确定 5. 下列逻辑函数中,与A F =相等的是 。 )(A 11⊕=A F )(B A F =2⊙1 )(C 13?=A F )(D 04+=A F 6. 设计一个6进制的同步计数器,需要 个触发器。 )(A 3 )(B 4 )(C 5 )(D 6 7. 下列电路中,属于时序逻辑电路的是 。 )(A 编码器 )(B 半加器 )(C 寄存器 )(D 译码器 8. 列电路中,实现逻辑功能n n Q Q =+1的是 。 )(A )(B 9. 的输出端可直接相连,实现线与逻辑功能。 )(A 与非门 )(B 一般TTL 门 )(C 集电极开路OC 门 )(D 一般CMOS 门 10.以下代码中为无权码的为 。 A . 8421BCD 码 B . 5421BCD 码 C . 余三码 D . 格雷码 11.以下代码中为恒权码的为 。 A .8421BCD 码 B . 5421BCD 码 C . 余三码 D . 格雷码 12.一位十六进制数可以用 位二进制数来表示。 A . 1 B . 2 C . 4 D . 16 13.十进制数25用8421BCD 码表示为 。 A .10 101 B .0010 0101 C .100101 D .10101 14.在一个8位的存储单元中,能够存储的最大无符号整数是 。 CP Q CP Q CP Q CP

数字逻辑课程三套作业及答案课案

数字逻辑课程作业_A 一、单选题。 1.(4分)如图x1-229 (D)。 A. (A) B. (B) C. (C) D. (D) 知识点:第五章 解析第五章译码器 2.(4分)如图x1-82 (C)。 A. (A) B. (B) C. (C) D. (D)

知识点:第二章 解析第二章其他复合逻辑运算及描述 3.(4分)N个触发器可以构成最大计数长度(进制数)为(D)的计数器。 A. N B. 2N C. N2次方 D. 2N次方 知识点:第九章 解析第九章计数器 4.(4分)n个触发器构成的扭环型计数器中,无效状态有(D)个。 A. A.n B. B.2n C. C.2n-1 D. D.2n-2n 知识点:第九章 解析第九章集成计数器 5.(4分)如图x1-293

(A)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 6.(4分)如图x1-317 (D)。 A. (A) B. (B) C. (C) D. (D) 知识点:第二章 解析第二章其他复合逻辑运算及描述 7.(4分)EPROM是指(C)。 A. A、随机读写存储器

B. B、只读存储器 C. C、光可擦除电可编程只读存储器 D. D、电可擦可编程只读存储器 知识点:第十章 解析第十章只读存储器 8.(4分)如图x1-407 (B)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 9.(4分)为实现将JK触发器转换为D触发器,应使(A)。 A. J=D,K=D非 B. B. K=D,J=D非 C. C.J=K=D D. D.J=K=D非

数字逻辑系统教案

第3章V H D L基础 一、VHDL的优点 1、用于设计复杂的、多层次的设计。支持设计库和设计的重复使用 2、与硬件独立,一个设计可用于不同的硬件结构,而且设计时不必了解过多的硬件细节。 3、有丰富的软件支持VHDL的综合和仿真,从而能在设计阶段就能发现设计中的Bug,缩短设计时间,降低成本。 4、更方便地向ASIC过渡 5、VHDL有良好的可读性,容易理解。 二、VHDL与计算机语言的区别 1、运行的基础 计算机语言是在CPU+RAM构建的平台上运行 VHDL设计的结果是由具体的逻辑、触发器组成的数字电路 2、执行方式 计算机语言基本上以串行的方式执行 VHDL在总体上是以并行方式工作 3、验证方式 计算机语言主要关注于变量值的变化 VHDL要实现严格的时序逻辑关系 3.1 VHDL 基本语法 Library IEEE; --使用IEEE库 use IEEE.std_logic_1164.all; --本设计实体开放 IEEE --中 std_logic_1164程序包的所有项目entity eqcomp4 is --实体 port(a, b:in std_logic_vector(3 downto 0);--端口定义 equal :out std_logic); end eqcomp4; --实体结束architecture dataflow of eqcomp4 is --结构体

begin --关键字begin equal <= ‘1’ when a=b else ‘0’; --功能描述语句 End dataflow; --结构体结束 3.1.1 组合电路描述 在任何时刻,输出状态只决定于同一时刻各输入状态的组合,而与先前状态无关的逻辑电路称为组合逻辑电路。 组合逻辑电路的特点: (1)输出与输入之间没有反馈延迟通路; (2)电路中不含记忆元件。 一、二选一多路选择器 [提问] 二选一多路选择器输入输出关系? [提问] 二选一多路选择器在传统数字电路中的实现方法? 1、根据真值表化简后采用基本门电路数字芯片来实现 2、采用专门多路选择器数字芯片来实现 [分析程序]二选一多路选择器的VHDL程序(例3-1)、(例3-2)、(例3-3),并比较实现同一个功能的三个VHDL程序相同点和不同点,最后用QUARTUSII进行功能时序波形仿真来验证程序实现的功能是否符合二选一多路选择器的功能 3.1.2 VHDL结构 一个VHDL程序具有五个基本元素: –Entity(实体):实体用于描述设计系统的外部接口信号。 –Architecture(结构体):结构体用于描述系统的行为、系统数据的流程或者系统组织结构形式。 –Configuration(配置):配置用于从库中选取所需单元来组成系统设计的不同规格的不同版本,使被设计系统的功能发生变化。 –Package(包集合):包集合存放各设计模块能共享的数据类型、常数、子程序等。 –Library(库):库用于存放已编译的实体、构造体、包集合、配置。

数字逻辑心得体会(精选多篇)

数字逻辑心得体会(精选多篇) 第一篇:数字逻辑心得体会 数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综合为目的,全面介绍数字电路的基本理论、分析方法、综合方法和实际应用,并着重从以下几个方面进行了介绍 1.介绍如何整理、设计电子教案; 2.如何讲好本门课程; 3.教学手段与教学方法在本课程的体现; 4.综合设计实验的设计与实施; 5.国家精品课程的申报与建设。 在解决如何讲好本门课程环节,侯教授提出了“厚理博术、知行相成”的理念,使我对该课程的教学有了更深的认识。在我院的实际教学过程中,由于课时少,实验的课时被大量压缩,侯教授关于课程实验的处理方式给了我们一种全新的方案。侯教授课件中很多flash 动画的灵活应用,也较好的解决了那些用语言无法表达 清楚的问题的讲解。 研究性教学和双语教学对年轻教师提出了新的要求。作为一名年轻教师,刚走上讲台不久,在课程的讲授过程中,基本都是采用传统的教学方法,即以讲授为主,实验为辅,案例教学基本没有。平铺直叙和填鸭式教学早被学生所厌倦。刘颖教授的研究性教学极好的调动了学生参与教学的积极性。通过刘颖教授的报告,我深深的感受到数字逻辑与系统课程不仅是一门基础课程,同时也是一门综合性较高的实用课程。研究性教学方式的提出也给我们这些年轻教师提出了新的努力方向。研究性教学虽然给年轻教师提出了更大的要求和较大的压力,但是也是一种努力工作的动力,促进年轻教师的不断成长。同时,娄淑琴教授关于双语教学的报告,也给我们提出了新的要求,自己深深感受到责任的重大,压力也越来越大。但是也激发自己努力的激情与信心。研究性教学和双语教学在一定程度上对年轻教师的科研、应用水平和外语能力等综合素质提出了更高的要求,同时,进一步促进教师阅读国外科技文献、追踪行业发展新动向,保持教师敏锐的学习能力,利于形成新的观点和见解。 通过此次培训,也感受到了师德在教学工作中的重要作用的体会。侯教授及其团队教师的人格魅力在实际教学中起到了很好的促进教学作用。在培训中,很多参加培训的老师被侯教授的敬业精神所感动,所鼓舞,这一点值得我们年轻教师学习并发扬光大。当崇高的师德与高超的教学技术融于一身时,这个才是大师。 在此次培训中,我积极与各院校教师交流,共同探讨该门课程的实际教学中遇到的问题,通过交流大家认为在数字电子技术基础教学工作中遇到的主要困难是:

数字逻辑心得体会(多篇范文)

数字逻辑心得体会 数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综合为目的,全面介绍数字电路的基本理论、分析方法、综合方法和实际应用,并着重从以下几个方面进行了介绍 1.介绍如何整理、设计电子教案; 2.如何讲好本门课程; 3.教学手段与教学方法在本课程的体现; 4.综合设计实验的设计与实施; 5.国家精品课程的申报与建设。 在解决如何讲好本门课程环节,侯教授提出了“厚理博术、知行相成”的理念,使我对该课程的教学有了更深的认识。在我院的实际教学过程中,由于课时少,实验的课时被大量压缩,侯教授关于课程实验的处理方式给了我们一种全新的方案。侯教授课件中很多flash 动画的灵活应用,也较好的解决了那些用语言无法表达清楚的问题的讲解。 研究性教学和双语教学对年轻教师提出了新的要求。作为一名年轻教师,刚走上讲台不久,在课程的讲授过程中,基本都是采用传统的教学方法,即以讲授为主,实验为辅,案例教学基本没有。平铺直叙和填鸭式教学早被学生所厌倦。刘颖教授的研究性教学极好的调动

了学生参与教学的积极性。通过刘颖教授的报告,我深深的感受到数字逻辑与系统课程不仅是一门基础课程,同时也是一门综合性较高的实用课程。研究性教学方式的提出也给我们这些年轻教师提出了新的努力方向。研究性教学虽然给年轻教师提出了更大的要求和较大的压力,但是也是一种努力工作的动力,促进年轻教师的不断成长。同时,娄淑琴教授关于双语教学的报告,也给我们提出了新的要求,自己深深感受到责任的重大,压力也越来越大。但是也激发自己努力的激情与信心。研究性教学和双语教学在一定程度上对年轻教师的科研、应用水平和外语能力等综合素质提出了更高的要求,同时,进一步促进教师阅读国外科技文献、追踪行业发展新动向,保持教师敏锐的学习能力,利于形成新的观点和见解。 通过此次培训,也感受到了师德在教学工作中的重要作用的体会。侯教授及其团队教师的人格魅力在实际教学中起到了很好的促进教学作用。在培训中,很多参加培训的老师被侯教授的敬业精神所感动,所鼓舞,这一点值得我们年轻教师学习并发(请你支持)扬光大。当崇高的师德与高超的教学技术融于一身时,这个才是大师。 在此次培训中,我积极与各院校教师交流,共同探讨该门课程的实际教学中遇到的问题,通过交流大家认为在数字电子技术基础教学工作中遇到的主要困难是:很多学生认为学习数字逻辑课程没用,学习不主动,没有创新意识。并从其它老师处学习到了解决诸如分析键盘译码电路、奇偶检验电路、计算机i/o接口地址译码电路,设计火灾

数字逻辑第一章课后答案

(1)(1011.10101)2 =(13.52)8=(0B.A8)16=(11.65625)10 (2)(1110.11001)2 =(16.62)8=(0E.C8)16=(14.78125)10 (3)(110110.111)2 =(66.7)8=(36.E )16=(54.875)10 (4)(10101.0011)2 =(25.14)8=(15.3)16=(21.1875)10 1-2 (1)(105.625)10 =(1101001.101)2=(69.A )16 (2)(27/64)10 =(0.011011)2=(0.6C )16 (3)(37.4)10 =(100101. 01100110)2=(25.66)16 (4)(42.375)10 =(101010. 011)2=(2A.6)16 (5)(62/128)10 =(0.0111110)2=(0.7C )16 (6)(9.46)10 =(1001. 01110101)2=(9.75)16 1-3 (1)(AB.7)16 =(10101011. 0111)2=(171.4375)10 (2)(3A.D )16 =(111010. 1101)2=(58.8125)10 (3)(5F.C8)16 =(1011111. 11001)2=(95.78125)10 (4)(2E.9)16 =(101110. 1001)2=(46.5625)10 1-4 (1)真值表 (2)真值表 逻辑函数表达式: 逻辑函数表达式: 1-5 (1)反函数: 对偶函数: (2)反函数: 对偶函数: (3)反函数: 对偶函数: (4)反函数: 对偶函数: AB BC F +++??=ABCD D C AB D C B A D C B A D BC A D C B A CD B A D C B A F +?++?++?+?+???=ABC C A B A A C B A F =?+=+?+=)()(A C B A F +?+=)('C B A C B A B A C B A B A F )()()()(⊕=??+?=?+?+=C B A B A F ?+?+=)()('))()(())((E D B C A C B A F ++?+??+=))()(()(B ++?+?++=))()(())(('E D B C A C B A F ++?+??+=) ()('D C A C B A C A F ++?+++?=D C A ??+?=)()(D C A C B A C A F ++?+++?=

数字逻辑各章节重要知识考点

For personal use only in study and research; not for commercial use 第一章绪论 知识点1:编码、无权代码、有权代码 知识点2:数制、进制变换 知识点3:定点数、浮点数 知识点4:模拟信号、数字信号、模拟电路、数字电路 一、选择题 1、以下代码中为无权码的为( CD )。 A、8421BCD码 B、5421BCD码 C、余三码 D、格雷码 2、一位十六进制数可以用( C )位二进制数来表示。 A、1 B、2 C、4 D、16 3、十进制数25用8421BCD码表示为( B )。 A、10 101 B、0010 0101 C、100101 D、10101 4、在一个8位的存储单元中,能够存储的最大无符号整数是( CD )。 A、(256)10 B、(127)10 C、(FF)16 D、(255)10 5、常用的BCD码有( CD )。 A、奇偶校验码 B、格雷码 C、8421码 D、余三码 6、与模拟电路相比,数字电路主要的优点有( BCD )。 A、容易设计 B、通用性强 C、保密性好 D、抗干扰能力强 二、判断题(正确打√,错误的打×) 1、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。(√) 2、格雷码具有任何相邻码只有一位码元不同的特性。(√) 3、八进制数(18)8比十进制数(18)10小。(×) 4、在时间和幅度上都离散的信号是数字信号,语音信号不是数字信号。(√) 三、填空题 1、数字信号的特点是在幅度上和时间上都是离散,其高电平和低电平常用 1 和 0 来表示。 2、分析数字电路的主要工具是逻辑代数,数字电路又称作逻辑电路。 3、常用的BCD码有 8421BCD码、2421BCD码、5421BCD码、余三码等。常用的可靠性代 码有格雷码、奇偶校验码等。 4、(10110010.1011)2=( 262.54 )8=( B2.B )16

《数字逻辑电路》教学大纲

《数字逻辑电路》教学大纲 开课系:信息工程系 适用专业及学生层次:初中起点 学时:112课时 先修课:电工基础、电子电路 后续课:微机原理、现代移动通信、程控交换技术 推荐教材及参考教材:《数字逻辑电路》 编写人:XXX 一、说明 1、课程的性质和内容 本门课程是通讯专业通用教材。主要内容包括数字电路基础,逻辑门电路,组合逻辑电路,触发器,时序逻辑电路,脉冲信号的产生与整形,数模和模数转换,数字集成电路应用以及有关实验等。 2、课程的任务和要求 第一,以能力为本位,重视实践能力的培养,突出职业技术教育特色。 第二,吸收和借鉴各地教学改革的成功经验,专业课教材的编写采用了理论知识与技能训练一体化的模式。 第三,更新教材内容,使之具有时代特征。 第四,贯彻国家关于职业资格证书与学业证书并重,职业资格证书制度与国家就业制度相衔接的政策精神,力求教材内容涵盖有关国家职业标准的知识、技能要求,确实保证毕业生达到中级技能人才的培养目标。 3、教学中应注意的问题 第一,根据企业的需要,确定学生应具备的能力结构和知识结构。 第二,教学中应时刻充实新知识、新技术、新设备和新材料。 第三,注意理论与实际结合。

二、学时分配表

三、课程内容与教学要求 第一章数字电路基础 教学要求 (1)掌握数字电路的特点 (2)明确各进制间的转换规则 (3)掌握基本的逻辑运算 (4)熟悉基本逻辑公式和逻辑定理 (5)掌握逻辑函数化简方法 (6)熟悉逻辑函数的各种表示方法以及相互转换的方法 (7)掌握半导体开关特性 教学内容 (1)掌握模拟电路和数字电路的各自特点以及它们的区别 (2)明确二进制、八进制、十六进制和十进制的表示方法以及转换时的不同规则 (3)掌握与、或、非三个最基本逻辑运算的逻辑符号、真值表及逻辑功能。(4)熟悉掌握逻辑电路中的运算律和等式的三个规则,了解异或运算的公式(5)熟悉利用逻辑运算规则及各种定律化简逻辑函数——即公式化简法,了解什么是卡诺图,熟练掌握逻辑函数卡诺图化简法。 (6)熟悉逻辑函数的表达式、卡诺图、真值表、波形图、逻辑图的转换方法。(7)掌握半导体二级管的单向导电性,掌握三极管的工作要求,工作在饱和、放大和截止区域的条件要求。 教学建议: 本章是数字逻辑电路的基础,与今后的学习内容紧密联系,学生应熟练掌握。 第二章逻辑门电路 教学要求 (1)掌握分立元件门电路的基本组成 (2)熟悉TTL集成门电路的特点 (3)熟悉CMOS集成门电路的常用门 (4)明确门电路的应用 教学内容 (1)掌握与、或、非门的各自特点和功能,熟悉组合后的复合门电路的特点

《数字逻辑》考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) A.10 101 B.0010 0101 C.100101 D.10101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C )

A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( A ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [] )E D (C B A F +?+?= 13.组合逻辑电路一般由( A )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( A )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( A ) 。 A. AB+AC+AD+AE B. A+BCED C. (A+BC)(A+DE) D. A+B+C+D+E 16.下列逻辑电路中,不是组合逻辑电路的有( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 17.逻辑表达式A+BC=( C )

数字逻辑电路与系统设计习题答案

数字逻辑电路与系统设计习题答案

第1章习题及解答 1.1 将下列二进制数转换为等值的十进制数。 (1)(11011) 2 (2) (10010111) 2 (3)(1101101) 2 (4) (11111111) 2 (5)(0.1001) 2 (6) (0.0111) 2 (7)(11.001) 2 (8) (101011.11001) 2 题1.1 解: (1)(11011) 2 =(27) 10 (2) (10010111) 2 =(151) 10 (3)(1101101) 2 =(109) 10 (4) (11111111) 2 =(255) 10 (5)(0.1001) 2 =(0.5625) 10 (6)(0.0111) 2 =(0.4375) 10 (7)(11.001) 2=(3.125) 10 (8)(101011.11001) 2 =(43.78125) 10 1.3 将下列二进制数转换为等值的十六进制数 和八进制数。 (1)(1010111) 2 (2)

(110111011)2 (3) (10110.011010)2 ( 4) (101100.110011)2 题1.3 解: (1) (1010111)2 =(57)16 =(127)8 (2) (110011010)2 =(19A )16 =(632)8 (3) (10110.111010)2 =(16.E8)16 =(26.72)8 (4) (101100.01100001)2 =(2C.61)16 = (54.302)8 1.5 将下列十进制数表示为8421BCD 码。 (1) (43)10 (2) (95.12)10 (3) (67.58)10 (4) (932.1)10 题1.5 解: (1) (43)10 =(01000011)8421BCD (2) (95.12)10 =(10010101.00010010) 8421BCD (3) (67.58)10 =(01100111.01011000)8421BCD (4) (932.1)10 =(100100110010.0001) 8421BCD

【CN109687872A】用于SAR_ADC的高速数字逻辑电路及采样调节方法【专利】

(19)中华人民共和国国家知识产权局 (12)发明专利申请 (10)申请公布号 (43)申请公布日 (21)申请号 201910140900.9 (22)申请日 2019.02.26 (71)申请人 中国电子科技集团公司第二十四研 究所 地址 400060 重庆市南岸区南坪花园路14 号 (72)发明人 徐代果 蒋和全 徐学良 王健安  陈光炳 付东兵 王育新 于晓权  徐世六 刘涛  (74)专利代理机构 重庆辉腾律师事务所 50215 代理人 卢胜斌 (51)Int.Cl. H03M 1/38(2006.01) H03M 1/12(2006.01) (54)发明名称用于SAR_ADC的高速数字逻辑电路及采样调节方法(57)摘要本发明属于模拟或数模混合集成电路技术领域,涉及一种高速SAR_ADC数字逻辑电路,具体为一种用于SAR_ADC的高速数字逻辑电路及采样调节方法,所述数字逻辑电路包括并行的比较器和逻辑控制单元,以及电容阵列DAC;比较器和逻辑控制单元被时钟信号同时触发;比较器输出有效比较结果Dp/Dn,逻辑控制单元输出相应的上升沿信号,通过设置延迟匹配,使得上升沿信号略晚于比较器输出Dp/Dn,Dp/Dn则被对应的上升沿信号捕获,从而建立电容阵列;本发明消除了传统并行数字逻辑采用数字逻辑窗口来捕获比较器效输出结果所带来的电容阵列错误建立的缺点;在采用异步逻辑的情况下,可以最大程度 上增加SAR_ADC的采用时间。权利要求书1页 说明书5页 附图4页CN 109687872 A 2019.04.26 C N 109687872 A

权 利 要 求 书1/1页CN 109687872 A 1.一种用于SAR_ADC的高速数字逻辑电路,所述电路包括并行的比较器和逻辑控制单元,以及电容阵列DAC;其特征在于,比较器和逻辑控制单元被时钟信号Clk同时触发;比较器输出有效比较结果Dp/Dn,逻辑控制单元输出相应的上升沿信号C,通过设置延迟匹配,使得逻辑控制单元输出的上升沿信号C略晚于比较器输出有效比较结果Dp/Dn,从而使得Dp/Dn被对应的上升沿信号C捕获,从而建立电容阵列;i=0,1,…,N-1,N表示SAR_ ADC的位数。 2.根据权利要求1所述的一种用于SAR_ADC的高速数字逻辑电路,其特征在于,所述逻辑控制单元包括或门和串联的N+1个D触发器,所述D触发器包括与门、上拉PMOS管、传输门和多个反相器;数据信号D从数据输入端进入传输门并发送至第一反相器,复位信号R从复位信号输入端对第一反相器输出的信号进行反向处理,经过第二反相器的数据输出端输出数据信号Q;将复位信号R与时钟输入端CP端的时钟信号Clk中CP经过与门从第三反相器的输出。 3.根据权利要求2所述的一种用于SAR_ADC的高速数字逻辑电路,其特征在于,当复位信号输入端输入的复位信号R和时钟信号CP都为0时,D触发器的数据输出端Q被复位为0;当复位信号输入端的复位信号R为1,且时钟信号输入端的时钟信号CP为1时,D触发器的数据输出端的输出数据信号Q被刷新为其数据输入端的输入信号D;D 触发器的复位信号R为1,时钟信号CP为0时,D触发器的数据输出端Q保持上一个状态的值;D触发器的复位信号R为0时,比较器的时钟信号CP不能为1。 4.根据权利要求2或3所述的一种用于SAR_ADC的高速数字逻辑电路,其特征在于,所述复位信号输入端是通过内部采样控制信号Clks_in的反相信号Clks_inn进行控制;所述内部采样控制信号Clks_in包括通过将第N+1个D触发器的输出信号和外部采样控制信号输入或门,或门的输出信号即为内部采样控制信号Clks_in。 5.一种用于权利要求1~4任一所述的SAR_ADC的高速数字逻辑电路的采样调节方法,其特征在于,所述方法包括当N次逐次逼近过程完成后,外部采样控制信号Clks仍然为0,第N+1个D触发器产生的输出信号变为1,使得或门的输出信号变为1,从而SAR_ADC立即进入采样状态,同时,前N个D触发器被复位;当外部采样控制信号Clks由0变为1之后,第N+1个D触发器的被复位,其输出信号变为0,或门的输出信号仍然保持为1,使得SAR_ADC仍然处于采样状态,直到外部采样控制信号变为0,采样状态结束,SAR_ADC进入逐次逼近状态。 2

数字逻辑教学大纲

《数字逻辑》 教学大纲 哈尔滨师范大学 计算机科学与信息工程学院

《数字逻辑》 一、课程设置的有关说明 1.数字逻辑课程是计算机科学与技术专业重要的必修课。 2.数字逻辑是基于数字电路相关知识的计算机硬件基础课程,是计算机硬件课程体系的一个重要知识环节。 3.设置本课程的目的和要求:由于一方面数字逻辑是一门涉及面较宽的综合性学科,另一方面也是一门正在迅速发展前沿的学科,新的思想、新的理论以及新的方法不断涌现,还有一点值得注意的是数字逻辑在计算机及其相关领域得到广泛的应用。为此,本课在选材、内容组织等方面力求做到:科学性、新颖性、实用性,力图在阐明基本原理和方法的同时,也能反映某些最新的研究成果,使学生比较牢固地掌握本课程分支的基本理论知识及实际应用能力。 本门课程共70学时,其中理论课54学时,实验课16学时;总学分为3学分。 4.本门课程主要讲授数字逻辑的基本理论及设计原理和相关实践,全面介绍数字逻辑的基本概念、设计原理、工作原理、实际应用、技术开发和该技术的未来发展方向和趋势,通过学习该知识体系使学生基本掌握该知识体系得理论知识和该知识体系在计算机相关领域的实际应用,及该课程体系在计算机硬件知识体系的重要地位。并为将来独立的从事基于计算机硬件知识体系的研究与开发打下更坚实的基础。 二、具体教学内容 第一章基本知识(4学时) 1.教学目的和教学基本要求: 掌握数字量与模拟量的特点,数字电路的特点、应用;了解二进制的算术运算与逻辑运算的不同之处;掌握不同数制之间的相互转换;掌握带符号二进制数的代码表示;掌握几种常用的编码。 2.内容提要: 第一节概述

第二节数制及其转换 第三节带符号二进制数的代码表示 第四节几种常用的编码 3.复习思考题: (1)二、八、十六进制数的转换 (2)8421、2421、余三码的组成 (3)格雷码和二进制转换 第二章逻辑代数基础(12学时) 1.教学目的和教学基本要求: 掌握逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式;掌握逻辑函数的三种表示方法(真值表法、逻辑式法、卡诺图法)及其相互之间的转换;掌握逻辑函数的公式化简法和卡诺图化简法;掌握最小项、最大项、约束项的概念及其在逻辑函数化简中的应用。 2.内容提要: 第一节逻辑代数的基本概念 第二节逻辑代数的基本定理和规则 第三节逻辑函数表达式的形式与变换 第四节逻辑函数化简 3.复习思考题: (1)利用逻辑代数基本公式对逻辑函数化简。 (2)化简逻辑函数为最小项之和形式。 (3)利用卡诺图法化简逻辑函数公式。 第三章集成门电路与触发器(12学时) 1.教学目的和教学基本要求: 了解门电路的定义及分类方法;掌握二极管、三极管的开关特性,及分立元件组成的与、或、非门的工作原理;了解TTL与非门的工作原理,静态输入、输出、电压传输特性及输入端负载特性,开关特性;了解其它TTL门(与非门、或非门、异或门、三态门,OC门)的工作原理及TTL门的改进系列;了解CMOS反相器的工作原理及静态特性;

相关文档 最新文档