文档库 最新最全的文档下载
当前位置:文档库 › 点阵牌使用说明

点阵牌使用说明

点阵牌使用说明
点阵牌使用说明

16X16点阵广告牌

该点阵广告牌由256个LED组成一个16X16的点阵矩阵,通过程序控制每个LED的点亮与熄灭,最终可显示任意汉字。一套点阵广告牌由两块电路板拼接而成,分别为点阵板和主控板,如下图所示:

图1 点阵板空板

图2 主控板空板

点阵板焊接注意事项:

(1)LED需安装在电路板正面,并从电路板反面进行焊接。

(2)注意区分LED的正负极,长引脚为正极,短引脚为负极。(3)LED持续焊接时间不要超过2S,以免损坏LED。

(4)两根单排公头插针请从电路板反面安装,并从电路板正面焊接。焊接完成的点阵板实物图如下图3所示:

图3 点阵板(焊接完成)

1、主控板焊接注意事项:

(1)遵循先焊小件,后焊大件的原则。

(2)注意区分有极性器件的正负极,例如:铝电解电容、发光二极管、整流二极管等。

(3)持续焊接三极管的时间不宜过长,以免损坏。

(4)两个铝电解电容、一个LM7805需要采用卧式安装,以免影响点阵板与主控板的对接,具体请参看下图4所示。(5)安装电源按钮时,注意确保钮底部的缺口与电路板上的矩形标识方向一致。

(6)主控板上的插针为单排母插针,请注意。

图4 主控板(焊接完成)

2、主控板补充说明:

如上图4所示,主控板右侧和左下方有许多小孔,可以作为功能扩展之用,用户可在该区域扩展其他功能,例如增加温度传感器,从而可显示温度;增加时钟日历芯片,可以显示时间。该广告牌可采用6-12V外部供电或采用5V手机充电器USB供电,供电口位置如上图

4中所标示。

三、安装

点阵板与主控板焊接完成后,就可以将两块板的插针插在一起从而完成两块独立电路板的电路连接,但是单靠这种连接方式是不够稳定的,因此我们还需要在电路板的四个角各采用一根空心铜柱子配合螺丝来进行固定安装,安装好的广告牌如下图5、图6所示。

图5 广告牌背面

图6 广告牌正面

四、广告牌程序下载

该电子广告牌主控板设计有一个STC12C5A08S2单片机,因此下载程序的方法与给单片机学习板下载程序的方法完全相同,在此不再赘述,需要注意的就是用USB线将广告牌连接电脑USB口后,电脑提示安装驱动的方法,没有经验的同学可借助于“驱动精灵”软件在线下载安装最新驱动,驱动安装成功后可以从“我的电脑”→“设备管理器”→“端口”中查看新出现的COM端口号。

五、单片机程序的修改

想要让我们的点阵广告牌显示什么内容,需要修改我们的程序,下面我们以让广告牌显示“我爱琴岛学院”六个汉字为例来讲解下如何修改单片机程序。

首先找到提供给大家的一个用KEIL软件编写的例程,该例程在“向左流水显示多个汉字程序”文件夹内,找到“uchar code tab[]=”这条语句,这条语句后面一对圆括号内部的数据就决定了广告牌要显示的汉字内容,这些数据可以用一个名叫“PCtoLCD2002.exe”的软

件来提取出来,该软件在“字模提取软件”文件夹内,找到并运行这个软件。

打开软件后点击“选项”,设置请参考下图7所示,一定不要设置错误,一点都不要错!设置好后点“确定”保存设置。

图7 选项设置

在软件中输入“我爱琴岛学院”,然后点击“生成字模”,然后就自动生成字模了。如图8所示。

图8 生成字模

接下来我们将生成的字模数据复制出来,请注意不要复制第一行数据,因为那不是我们所需要的字模数据!复制字模,粘贴到单片机程序uchar code tab[]=后面的圆括号内,注意将程序中原来的字模数据先删除掉。

修改程序第四行#define zishu 6 ,你需要显示几个汉字就改成几,我们显示“我爱琴岛学院”一共6个字,所以是6.

修改主函数中的一个数据,可以改变广告牌流水显示的速度,想慢点,就把数据改小,想快点就把数据改大,当然了,建议最大别超过70,要修改的数据如下图9中所示。默认是50.

图9 修改程序

程序修改好后,编译,生产新的HEX,并下载到单片机,一切正常的话,广告牌就已经可以显示“我爱琴岛学院”了。

青岛理工大学琴岛学院机电系

电工电子实验室

2014.6.26

16X16点阵LED显示

毕业设计说明书 课题名称: 16乘16点阵显示电路的电路原理图及pcb绘制 学生姓名 专业 班级 时间 指导教师

姓名 设计题目16乘16点阵显示电路的原理图及pcb 绘制 指导教师 设计目的利用单片机控制显示屏,显示相应字幕掌握PROTEL99SE软件的操作和应用 理解和运用芯片 设计摘要 本设计是一16×16点阵LED电子显示屏的设计。 整机以单片机AT89C51为核心,介绍了以它为控制系统的LED点阵电子显示屏的动态设计和开发过程。通过该芯片控制一个行驱动器 74LS168和两个列驱动器74LS164来驱动显示屏显示。采用4块8×8点阵LED显示模块来组成16×16点阵显示模式。 单片机控制系统程序采用单片机以C语言进行编辑,通过编程控制各显示点对应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。所显示字符的点阵数据可以自行编写(即直接点阵画图),也可从标准字库中提取。 论文着重介绍点阵显示的制作过程,即元器件的制作,单个封装,原理图的绘制以及PCB版布线的过程 设计规划1.建立库原件里面的没有的原件,并做出封装 2.绘制点阵点阵显示的原理图 3.对原理图里面的原件进行封装 4.创建链接表 5.导入到PCB里面,并排列连接 6.制造PCB版 7.

姓名 设计题目16乘16点阵显示电路的原理图及pcb绘制指导教师 设计目的利用单片机控制显示屏,显示相应字幕掌握PROTEL99SE软件的操作和应用 理解和运用芯片 设计摘要 本设计是一16×16点阵LED电子显示屏的设计。 整机以单片机AT89C51为核心,介绍了以它为控制系统的LED点阵电子显示屏的动态设计和开发过程。通过该芯片控制一个行驱动器 74LS168和两个列驱动器 74LS164来驱动显示屏显示。采用4块8×8点阵LED显示模块来组成16×16点阵显示模式。 单片机控制系统程序采用单片机以C语言进行编辑,通过编程控制各显示点对应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。所显示字符的点阵数据可以自行编写(即直接点阵画图),也可从标准字库中提取。 论文着重介绍点阵显示的制作过程,即元器件的制作,单个封装,原理图的绘制以及PCB版布线的过程 设计规划1.建立库原件里面的没有的原件,并做出封装 2.绘制点阵点阵显示的原理图 3对原理图里面的原件进行封装 4创建链接表 5导入到PCB里面,并排列连接 6制造PCB版

微机接口实验-16x16点阵显示

实验04·LED显示器 王梦硕 0930******* 实验目的: 在理解LED点阵工作原理的基础上,实践使用点阵显示字符。 实验原理: 1·点阵式显示器: 发光二级管排列成矩阵,由亮与暗来产生字符或图形。 每一样的阳极连在一起,每一列的阴极连在一起,如右图所 示。 点阵显示器每一列的阴极连在一起,对每一列而言相当 于一个共阴显示器。同时每一行的阳极连在一起,相当于七 段显示器的比划。可采用动态显示电路,以笔画锁存器控制 行信号,以位锁存器控制列信号。 2·74HC595 实验中使用两片8位输出锁存移位寄存器74HC595(三态输出、串入并出),将单片机I/O口发出的串行数据转换为并行数据LD_QA~LD_QP,作为16x16 LED点阵显示器的行线,使用另外两片8位74HC595作为16x16 LED点阵显示器的列线LD_1~LD_16。当行输出高电平、列输出低电平时,可以点亮点阵。74HC595的工作时序图和推荐的连接方法如下: 下图中: ?LD-QA~LD-QP:点阵行控制信号 ?LD-1~LD-16:点阵列控制信号 ?SER(14脚):串行数据输入端 ?-SCLR(10脚):低电平时将移位寄存器的 数据清零。通常将它接Vcc。 ?SCK(11脚):上升沿时将串行数据移入移 位寄存器。 ?RCK(12脚):上升沿时移位寄存器的数据 锁存入数据寄存器。 ?-G(13脚): 高电平时禁止输出(高阻态)。

实验内容: 在16×16LED点阵上分别用静态方式和滚屏方式显示自己的姓(行扫描)。 两个实验部分的电原理图是相同的,如下所示:

1·静态方式: 流程图: 程序代码: L_DAT_H BIT P1.0 L_DAT_L BIT P1.1 L_STR BIT P1.2 L_CLK BIT P1.3 L_OE BIT P1.4 ROWH EQU 40H ;字模信号(顺向取膜,高位在前)ROWL EQU 41H SELH EQU 42H ;行扫描信号

EDA 16x16点阵显示

课程设计报告 课程名称数字系统与逻辑设计 课题名称16*16点阵显示 专业通信工程 班级 学号 姓名 指导教师乔汇东胡瑛 2012年7月2日

湖南工程学院课程设计任务书 课程名称数字系统与逻辑设计课题16*16点阵显示 专业班级通信工程1001班 学生姓名 学号 指导老师乔汇东胡瑛 审批乔汇东 任务书下达日期2012 年6月23日 任务完成日期2012 年7月2日

《数字系统与逻辑设计》课程设计任务书 一、设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 二、设计要求 1、设计正确,方案合理。 2、程序精炼,结构清晰。 3、设计报告5000字以上,含程序设计说明,用户使用说明,源程序清单及程序框图。 4、上机演示。 5、有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。 三、进度安排 第二十周星期一:课题讲解,查阅资料 星期二:总体设计,详细设计 星期三:编程,上机调试、修改程序 星期四:上机调试、完善程序 星期五:答辩 星期六-星期天:撰写课程设计报告 附: 课程设计报告装订顺序:封面、任务书、目录、正文、评分、附件(A4大小的图纸及程序清单)。 正文的格式:一级标题用3号黑体,二级标题用四号宋体加粗,正文用小四号宋体;行距为22。正文的内容:一、课题的主要功能;二、课题的功能模块的划分(要求画出模块图);三、主要功能的实现;四、系统调试与仿真;五、总结与体会;六、附件(所有程序的原代码,要求对程序写出必要的注释);七、评分表。

PROTEUS16X16点阵制作教程详解版

PROTEUS16*16点阵(元件)制作 首先,新建一个工程,保存,并在PROTEUS元件库里找到一个8X8点阵 点击右键,选择Decompose(元件分解) 这时元件旁边出现了一个“原点”和“NAME=…”的内容,说明元件已经进入可编辑状态

双击“NAME…”字段,可以打开元件的脚本文件,里面包含元件的诸多定义,不要随便修改 接下来,将鼠标指向点阵边缘位置,鼠标变成“手形”,点击右键,即可“Drag Objecet”拖动点阵的发光背景板

接下来,点击工具栏“”,就可以看到很多标记符号,这些符号是用来代表LED点阵每个点的状态的,即每个点有两个状态,例如LEDMATRIX_G_0_0表示第一行灯灭的状态,LEDMATRIX_G_1_1表示第二行灯亮的状态 随意点击一个,然后在电路设计区再点一下,就会出现一个亮点或者是暗点 然后单击右键,选择“Decompose”

这时小点上方便会出现一个“”然后将小点和“原点”一起拖拽到下图的状态: 我们便会知道这个点是第几行的点,本例中这个点就是LEDMA TRIX_G_1_1了,知道了这一点,下面的工作便会很简单,比如我想产生一个第11行的点,就在上图的基础上将小点拖拽到第11行的位置,如下图情况

记住,在此过程中,我们要将所有的原点都托至同一水平线,如上图,对于8X8的点阵来说,已经有了第8行了,所以要做一个16X16的点阵,我们需要产生的是9—16行的点的状态符号,在上图中任意选择一个点以及其上的原点(一定不能掉哦),然后右键选择“Make symble”(如下图)

在“symble name”栏里面我们要填和左边“”栏里面一样的格式的状态符号,比如是

8 16X16LED点阵显示程序

16×16按字显示程序: ;P0和P2口输出字型码,P1口输出列线扫描。 ORG 0000H SJMP LOOP ORG 0080H LOOP:MOV A,#00H ;开机初始化,清除画面MOV P0,A ;清除P0口 ANL P2,#00 ;清除P2口 MOV R2,#200 D100MS: MOV R3,#250 ;延时100毫秒 DJNZ R3,$ DJNZ R2,D100MS

MOV 20H,#00H ;字型码指针赋初值 L100: MOV R1,#10 ;每个字的停留时间 L16: MOV R6,#16 ;每个字16个16位码 MOV R4,#00H ;列线扫描指针清零,接4-16译码器,。 MOV R0,20H ;字型码指针存入R0 L3: MOV A,R4 ;列线扫描指针存入A MOV P1,A ;列线扫描输出 INC R4 ;扫描指针加1,指向下一列 MOV A,R0 ; 取码指针存入A MOV DPTR,#TABLE ;取数据表的上半部分的代码 MOVC A,@A+DPTR MOV P0,A ; 输出到P0 INC R0 ;取字型码指针加1,取下一个码。 MOV A,R0 MOV DPTR,#TABLE ;取数据表下半部份的代码 MOVC A,@A+DPTR MOV P2,A ;输出到P2口 INC R0 ;取字型码指针加1,取下一个码。 MOV R3,#02 ;扫描1毫秒 DELAY2:MOV R5,#248 DJNZ R5,$ DJNZ R3,DELAY2 MOV A,#00H ;清除屏幕 MOV P0,A ANL P2,#00H DJNZ R6,L3 ;一个字16个码是否完成? DJNZ R1,L16 ;每个字的停留时间是否到了? MOV 20H,R0 ;取码指针存入20H(静态显示) CJNE R0,#224,L100 ;7个字224个码是否完成? JMP LOOP ;反复循环 16×16滚动显示程序: ORG 0000H SJMP LOOP ORG 0080H LOOP:MOV A,#00H ;开机初始化,清除画面 MOV P0,A ;清除P0口

16 16点阵LED循环显示汉字汇编语言设计

LED16X16点阵显示 课程设计报告 学院信息工程学院 专业通信工程 班级0801 学生姓名 指导老师 二0一0年十二月 一、设计目的 本次课程设计目的剖析试验箱,利用微机接口芯片8255,并行控制LED点阵显示;其次就是掌握8088微机系统与LED点阵显示模块之间接口电路设计及编程,了解LED点阵显示的基本原理和如何来实现汉字的的循环左移显示。 二、设计内容 利用598H试验系统扩展接口CZ7座,在控制板MC1上以并行通信的方式控制LED点阵显示。要求自建字库,编制程序实现点阵循环左移显示汉字,并要求通过protues仿真软件画出电路图,运行程序。 三、硬件电路设计 整个电路由8088CPU,两片8255,1个74ls373,1个74LS138,1个16×16的LED,5个7407。该电路可静态显示1个16*16位的汉字,也可循环显示。 1、8255 Intel8255A是一种通用的可编程序并行I/O接口芯片,又称“可编程外设接口芯片”,是为Intel8080/8085系列微处理据设计的,也可用于其它系列的微机系统。可由程序来改变其功能,通用性强、使用灵活。通过8255A,CPU可直接同外设相连接,是应用最广的并行I/O接口芯片。其中含3个独立的8位并行输入/输出端口,各端口均具有数据的控制和锁存能力。可通过编程设置各端口的工作方式和数据传送方向(入/出/双向)。 2、138译码器 译码器是组合逻辑电路的一个重要的器件,74LS138的输出是低电平有效,故实现逻辑功能时,输出端不可接或门及或非门,74LS138与前面不同,其有使能端,故

使能端必须加以处理,否则无法实现需要的逻辑功能。发光二极管点亮只须使其正向导通即可,根据LED的公共极是阳极还是阴极分为两类译码器,即针对共阳极的低电平有效的译码器;针对共阴极LED的高电平输出有效的译码器。 3、373锁存器 74LS373是低功耗肖特基TTL8D锁存器,内有8个相同的D型(三态同相)锁存器,由两个控制端(11脚G或EN;1脚OUT、CONT、OE)控制。当OE接地时,若G为高电平,74LS373接收由PPU输出的地址信号;如果G为低电平,则将地址信号锁存。工作原理:74LS373的输出端O0—O7可直接与总线相连。当三态允许控制端OE为低电平时,O0—O7为正常逻辑状态,可用来驱动负载或总线。当OE为高电平时,O0—O7呈高阻态,即不驱动总线,也不为总线的负载,但锁存器内部的逻辑操作不受影响。当锁存允许端LE为高电平时,O随数据D而变。当LE为低电平时,O被锁存在已建立的数据电平。 4、LED动态显示原理 LED点阵显示系统中各模块的显示方式:有静态和动态显示两种。静态显示原理简单、控制方便,但硬件接线复杂,在实际应用中一般采用动态显示方式,动态显示采用扫描的方式工作,由峰值较大的窄脉冲电压驱动,从上到下逐次不断地对显示屏的各行进行选通,同时又向各列送出表示图形或文字信息的列数据信号,反复循环以上操作,就可显示各种图形或文字信息。 点阵式LED绝大部分是采用动态扫描显示方式,这种显示方式巧妙地利用了人眼的视觉暂留特性。将连续的几帧画面高速的循环显示,只要帧速率高于24帧/秒,人眼看起来就是一个完整的,相对静止的画面。最典型的例子就是电影放映机。在电子领域中,因为这种动态扫描显示方式极大的缩减了发光单元的信号线数量,因此在LED显示技术中被广泛使用。 以8×8点阵模块为例,说明一下其使用方法及控制过程。图2.1中,红色水平线Y0、Y1……Y7叫做行线,接内部发光二极管的阳极,每一行8个LED的阳极都接在本行的行线上。相邻两行线间绝缘。同样,蓝色竖直线X0、X1……X7叫做列线,接内部每列8个LED的阴极,相邻两列线间绝缘。 在这种形式的LED点阵模块中,若在某行线上施加高电平(用“1”表示),在某列线上施加低电平(用“0”表示)。则行线和列线的交叉点处的LED就会有电流流过而发光。比如,Y7为1,X0为0,则右下角的LED点亮。再如Y0为1,X0到X7均为0,则最上面一行8个LED 全点亮。 现描述一下用动态扫描显示的方式,显示字符“B”的过程。其过程如图3.1 图3.1用动态扫描显示字符“B”的过程 Proteus中只有5×7和8×8等LED点阵,并没有16×16LED点阵,而在实际应用中,要良好地显示一个汉字,则至少需要16×16点阵。下面我们就首先介绍使用8×8点阵构建16×16点阵的方法,并构建一块16×16LED点阵,用于本次设计。

微机课程设计-16x16led点阵设计..

广东药学院 微机原理课程设计 2012级电子信息工程 题目16x16点阵电子广告牌 小组第二组 组员吴耀龙·刘昌·潘搌鹏 时间2014年 6 月18 日

小组成员分工: 组长: 吴耀龙负责Protues画图与键盘代码,8255控制,闪烁显示 组员: 潘搌鹏:负责Protues图改进,流水显示广告与文字编码 刘昌:课题选择与功能实现负责程序调试与改进 成果: 基本实现预期功能,但是复位功能未能实现,还有按键检测时序上的一点小缺陷 摘要 LED显示屏分为图文显示屏和视频显示屏,均由LED矩阵块组成。LED显示屏可以显示变化的数字、文字、图形图像;不仅可以用于室内环境还可以用于室外环境,具有投影仪、电视墙、液晶显示屏无法比拟的优点。LED之所以受到广泛重视而得到迅速发展,是与它本身所具有的优点分不开的。这些优点概括起来是:亮度高、工作电压低、功耗小、小型化、寿命长、耐冲击和性能稳定。LED的发展前景极为广阔,目前正朝着更高亮度、更高耐气候性、更高的发光密度、更高的发光均匀性,可靠性、全色化方向发展。

现代LED 的发展很快,很多研究领域非常已经深刻,所以想利用自己学的微机知识简单的研究一下用微机驱动的LED 显示汉字,以达到学以致用和实践相结合的目的,同时巩固加深自己的微机知识。用点阵方式构成图形或文字,是非常灵活的,可以根据需要任意组合和变化,只要设计好合适的数据文件,就可以得到满意的显示效果。因而采用点阵式图文显示屏显示经常需要变化的信息,是非常有效的。 点阵大小可以有16×16、 24×24、32×32、48×48等不同规格,也有单色、双色、和多色几种,最常用的是单色图文屏。单色屏多使用红色或橘红色或橙色LED 点阵单元。双色图文屏和多色图文屏,在LED 点阵的每一个“点”上布置有两个或多个不同颜色的LED 发光器件。换句话说,对应于每种颜色都有自己的显示矩阵。显示的时候,各颜色的显示点阵是分开控制的。事先设计好各种颜色的显示数据,显示时分别送到各自的显示点阵,即可实现预期效果。每一种颜色的控制方法和单色的完全相同,因此掌握了单色图文显示屏的原理,双色屏和多色屏就不难理解了。 关键词:LED , 微机, 点阵, 驱动, 矩阵 1.设计方案 1.1、系统构成

16x16点阵显示LED

开封大学 学生毕业设计 题目点阵式汉字电子显示屏设计 年级 11级专业电子信息工程技术 班级电子3班 学生姓名苗本朋起止时间 2013.11,4-2014,05.26指导教师肖兴达职称副教授 2014年 5 月 26 日

摘要 电子显示屏的应用范围越来越广泛,它作为一个重要的宣传平台,已经受到全社会的普遍认可。本课题以单片机为控制核心,通过8x8 LED电子显示屏及相关的外围电路,设计制作了一个16x16 点阵LED电子显示屏。 本文介绍了基于AT89C51单片机点阵显示屏的设计方案,阐述了16×16点阵LED 显示屏的设计原理与思路,详细叙述了系统硬件、软件设计的具体实现过程。论文重点阐述了显示模块及相关驱动模块等的模块化设计思路与制作方法。软件部分同样也采用模块化的设计思想,显示模块,并采用简单流通性强的汇编语言编程实现。系统能实现清晰的图文伴随左移出显示功能。在实际设计调试过程中,通过肉眼观察该显示屏显示的图文是否稳定、清晰无串扰,查找造成图文不清晰的根源,确定调整方案,尽可能的使显示图文与要求相符合。 关键词:单片机;LED显示屏

目录 1 引言 (3) 1.1 课题的背景 (3) 1.2 研究目的和意义 (4) 1.3 研究内容 (5) 2 系统方案论证 (5) 2.1 方案论证 (6) 2.2模块方案确定 (6) 2.2.1 电源模块 (6) 2.2.2 单片机控制模块 (6) 2.2.3 时钟信号电路 (6) 2.2.4 复位电路 (7) 2.2.5 显示驱动模块 (7) 3 系统硬件电路设计 (8) 3.1硬件电路设计 (8) 3.2各单元电路说明 (8) 3.2.1 单片机主控模块的设计 (8) 3.2.2 16X16点阵显示模块设计 (11) 3.2.3 驱动模块电路设计 (13) 3.2.4 电源电路设计 (15) 4 系统软件设计 (18) 4.1点阵显示原理 (18) 4.2系统程序流程图 (20) 4.3系统程序 (22) 5 单片机I/O口分配 (26) 6 结果分析及总结 (26) 6.1结果分析 (26) 6.2总结 (26) 参考文献 附录1:电路图 附录2:元件清单

电子综合设计-16X16汉字点阵显示设计

电子综合设计方案 题目:16*16点阵汉字显示屏显示设计年级专业:电气 学生姓名:

2011年12 月10 日 第一章课题简介 1.1 LED显示简介 LED显示屏:它是一种通过控制半导体发光二极管的显示方式,其大概的样子就是由很多个通常是红色的发光二极管组成,靠灯的亮灭来显示字符。用来显示文字、图形等各种信息的显示屏幕。 LED显示以其组构方式灵活、显示稳定、功耗低、寿命长、技术成熟、成本低廉等特点在车站、证券所、运动场馆、交通干道及各种室内/外显示场合的信息发布,公益宣传,环境参数实时,重大活动倒计时等等得到广泛的应用。 单片机控制系统程序采用单片机汇编语言进行编辑,通过编程控制各显示点对应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。所显示字符的点阵数据可以自行编写,也可从标准字库中提取。

第二章课题系统整体方案 2.1 需要实现的功能 本设计是一16×16点阵LED电子显示屏的设计。整机以40脚单片机AT89C51为核心,通过该芯片控制列驱动器74HC595来驱动显示屏显示。该电子显示屏可以显示各种文字或单色图像,全屏能显示1个汉字。显示可以采用动态显示,使得图形或文字能够实现静止、移入移出等多种显示方式。开关控制显示“矿大电气五班”、“电子综合设计”的字幕。 同时还要实现的功能:5V的电压输入,时钟电路的设置,复位电路的设置,单片机给74HC154芯片同时给E1和E2低电平,74LS154才能正常的工作。例如如果想使左上角LED点亮,则Y0=1,X0=0即可。应用时限流电阻可以放在X轴或Y,16*16LED 点阵如图2.1.1所示。 图2.1.1

16x16点阵显示实验报告

16*16点阵显示实验报告 一、实验目的 (1)学习点阵显示字符的基本原理。 (2)掌握用数控分频控制速度,实现点阵扫描的基本方式。 (3)会使用Quartus II软件中的Verilog HDL语言实现点真的行列循环显示。 二、实验设备与器件 Quartus II 软件、EP2C8Q208C8实验箱 三、实验方案设计 1.实验可实现的功能 可通过编写Verilog HDL语言,实现点阵的行列交替扫描。先是行扫描,扫描间隔为1s,16行都扫描完之后开始列扫描,扫描间隔仍然为1s,16列扫描完之后,行继续扫描,依次循环。 2.点阵基本知识 16*16扫描LED点阵只要其对应的X、Y轴顺向偏压,即可使LED发亮。例如如果想使左上角LED点亮,则Y0=1,X0=0即可。应用时限流电阻可以放在X轴或Y轴。它有16个共阴极输出端口,每个共阴极对应有16个LED显示灯。 LED点阵每个点都有一个红色的发光二极管。点阵内的二极管间的连接都是行共阳,列共阴。本实验采用共阴,当二极管的共阳极为高电平,共阴极为低电平时,所接点发光;反之处于截止状态,不放光。 3.系统工作原理 本系统用了两个模块,其中dianzhen.v是顶层文件,而hangsaomiao.v和liesaomiao.v是两个子模块,总体的系统功能框图如图3.3.1所示。

50MHz 时钟信号 1Hz 分频16s 计时器 开始满16s ? 当前状态为行扫描? N Y 行扫描 列扫描 N Y 点阵 结束 图3.3.1 系统功能图示 通过流程图可以看到,体统是先将试验箱的50MHz 时钟信号分频为1s ,因为要实现16*16的点阵扫描,所以用一个16s 的计时器计时,每经过16s 行扫描与列扫描的状态转换一次,通过点阵显示出来。 4.模块化程序设计 (1)点阵显示顶层程序设计 module dianzhen (clk50mhz,row,sel0,sel1,sel2,sel3,line); input clk50mhz; //实验箱提供50MHz 时钟信号 output sel0,sel1,sel2,sel3; //设置引脚选通点阵 output reg [15:0] row; //行 output reg [3:0] line; //列 wire [15:0] row1,row2; wire [3:0] line1,line2; reg [24:0] cnt=0; //1Hz 计数子 reg [4:0] cnt1=0; //16s 计数子 assign sel0=1'b0; assign sel1=1'b1; assign sel2=1'b0; assign sel3=1'b0; always@(posedge clk50mhz) begin

Proteus仿真1616LED点阵显示汉字.docx

例.利用Proteus仿真一块16×16LED点阵,并在其上循环显示汉字“郑州大学”。 Proteus中只有5×7和8×8等LED点阵,并没有16×16LED点阵,而在实际应用中,要良好地显示一个汉字,则至少需要16×16点阵。下面我们就首先介绍使用8×8点阵构建16×16点阵的方法,并构建一块16×16LED点阵,用于本例的显示任务。 首先,从Proteus元件库中找到“MATRIX-8X8-RED”元器件,并将四块该元器件放入Proteus文档区编辑窗口中。此时需要注意,如果该元器件保持初始的位置(没有转动方向),我们要首先将其左转90°,使其水平放置,那么此时它的左面8个引脚是其行线,右边8个引脚是其列线(当然,如果你是将右转,则右边8个引脚是行线)。然后我们将四个元器件对应的行线和列线分别进行连接,使每一条行线引脚接一行16个LED,列线也相同。并注意要将行线和列线引出一定长度的引脚,以便下面我们使用。 连接好的16×16点阵如下图所示: 连接成如上图的16×16点阵只是第一步,这样分开的数块并不能达到好的显示效果,下面我们要将其进一步组合。组合实际上很简单,首先选中如上图中右侧的两块8×8点阵,然后拖动并使其与左侧的两块相并拢,如下图所示: 我们可以看到原来的连线已经自动隐藏了,至于线上的交点,我们不要去动。然后,我们再来最后一步,选中下侧的两块点阵,并拖动使其与上侧的两块并拢,最后的效果如下图所示: 可以看到,原来杂乱的连线现在已经几乎全部隐藏了,一块16×16的LED点阵做成了。需要注意,做成的LED点阵的行线为左侧的16个引脚,下侧的16个引脚为其列线,而且其行线为高电平有效,列线为低电平有效。然后,我们将其保存,以便以后使用。 制作好16×16LED点阵,我们接下来来进行本例的实验。 由于本例的软件程序需要首先注意硬件连接,所以,我们首先来看一下本例的电路图。电路图中用到了74159集成芯片,其效用是将4位输入译为16输出(低电平有效),刚好满足我们的要求。电路图中的其他元器件我们在以前的仿真实例中都已介绍过,此处不再赘述。最终完成的电路图如下所示:

16X16LED点阵课程设计报告

16X16LED点阵课程设计报告

清远职业技术学院课程设计报告 《4个16X16点阵LED电子显示屏》 姓名何渊乾 班级07电子(2)班 专业电子信息工程技术

报告主体参考《单片机课程设计指导》 一、功能设计要求 (3) 二、方案论证 (3) 三、系统硬件电路的设计 (4) 四、系统程序的设计 (6) 五、调试及性能分析 (7) 六、控制源程序清单 (7) 七、收获、体会、建议 (7)

八、焊接成品 (7) 九、附件 附件1 (8) 附件2 (15) 附件3 (15) 附件4 (16) 附件5 (17) 课题:4个16X16LED点阵电子显示屏 一、功能设计要求 设计一个能显示4个16X16点阵图文LED 显示屏(由于经济问题,由4个8X8的LED焊接成一个16X16的LED),要求能显示图形或文字,显示图形或文字应稳定、清晰,图形或文字显示有静止、左移或右移等显示方式。 二、方案论证 从理论上讲,不论显示图形还是文字,只要控制与组成这些图形或文字的各个点所在位置相对应的LED器件发光,就可以得到想要的显示结果。这种同时控制各个发光点亮灭的方法称为静态驱动显示方式。每个16X16的点阵共有

256个发光二极管,显然单片机没有这么多端口。如果采用锁存器来扩展端口,那么按8位锁存器来计算,一个16X16的点阵需要256/8=32个锁存器。这个数字很庞大,因为这里仅仅是16X16的点阵,而在实际应用中的显示屏往往还要大得多,这样在锁存器上花的成本将是一个很庞大的数字。因此在实际应用中,显示屏几乎都不采用这种设计,而采用另一种称为动态扫描的显示方法。 所谓动态扫描,简单地说就是逐行轮流点亮,这样扫描驱动电路就可以实现多行(如16行)的同名列共用一套列驱动器。以16X16点阵为例,把所有同一行发光管的阳极连在一起,把所有同一列发光管的阴极连在一起(共阳的接法),先送出第一行发光管亮灭的数据并锁存,然后选通第一行使其点亮一定的时间,然后熄灭;再送出对应第二行的数据并锁存,然后选通第二行使其点亮相同的时间,然后熄灭……第十六行之后又重新亮第一行,这样反复轮回。当这样轮回的速度足够快(每秒24次以上)时,由于人眼的视觉暂留现象,我们就能看到显示屏上稳定的图形了。

16X16点阵LED电子显示屏设计资料

单片机应用系统实验设计 16X16点阵LED电子显示屏设计 班级:物电学院电信2班 组员:吕勇军学号:201011020219 黄波201011020220 指导老师:彭建英 日期:2012年12月1号

第一章绪论 1.1 单片机的应用 单片机是生活必不可缺的,顾名思义单片机的应用是很广泛的,导弹的导航装置、飞机上各种仪表的控制、计算机的网络通讯和数据传输、工业自动化过程和实时控制数据处理、广泛使用的智能IC卡、民用豪华轿车的安全保障系统、录像机和摄像机、全自动洗衣机的控制、以及程控玩具、电子宠物等等。单片机广泛应用于仪器仪表、家用电器、医用设备、航空航天、专用设备的智能化管理及过程控制等领域。 1.2电子显示屏 随着现代光电技术、微电子技术及计算机技术的飞速发展和普及,LED显示屏已遍及社会的各个领域。简单的讲,显示屏就是由若干个可组合拼接的显示单元构成屏体,再加上一套适当的控制器。所以多种规格的显示板配合不同技术的控制器就可以组成许多种LED显示屏,以满足不同环境,不同显示要求的需要。LED显示屏是由几万到几十万个半导体发光二极管像素点均匀排列组成。利用不同的材料可以制造不同色彩的LED像素点。目前应用最广的是红色、绿色、黄色。而蓝色和纯绿色LED的开发已经达到了实用阶段。LED显示屏可以显示变化的数字、文字、图形图像;不仅可以用于室内环境还可以用于室外环境,具有投影仪、电视墙、液晶显示屏无法比拟的优点。LED显示屏的分类:按颜色可以分为单基色显示屏、双基色显示屏、全基色显示屏;按显示器分类LED数码显示屏、LED点阵图文显示屏;按实用场合分类有室内显示屏和室外显示屏。仔细分解一个LED显示屏,它有以下一些要素构成:金属结构框架、显示单元、扫描控制板、开关电源、双绞线传输电缆、主控制仪、专用显示卡及多媒体卡、电脑及其外设、其它信息源。

EDA16x16点阵滚动动画显示

四、课程设计的总体步骤 1、单个字符的显示(如:黄): library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity xianshi is port( clk2:in std_logic; rck,sck:out std_logic; si:out std_logic); end ; architecture one of xianshi is signal sel:std_logic_vector(3 downto 0); signal q: std_logic_vector(31 downto 0); signal i:integer range 0 to 31; signal coi:std_logic;

begin process(sel,clk1) begin case sel is when"0000"=>q<="00000100000000000111111111111111"; when"0001"=>q<="00000100000000011011111111111111"; when"0010"=>q<="00100100000000011101111111111111"; when"0011"=>q<="00100101111110101110111111111111"; when"0100"=>q<="00100101010100101111011111111111"; when"0101"=>q<="11111101010101001111101111111111"; when"0110"=>q<="00100101010100001111110111111111"; when"0111"=>q<="00100111111100001111111011111111"; when"1000"=>q<="00100101010100001111111101111111"; when"1001"=>q<="11111101010101001111111110111111"; when"1010"=>q<="00100101010101001111111111011111"; when"1011"=>q<="01100111111100101111111111101111"; when"1100"=>q<="00100100100000101111111111110111"; when"1101"=>q<="00001100000000011111111111111011"; when"1110"=>q<="00000100000000001111111111111101"; when"1111"=>q<="00000000000000001111111111111110"; when others =>null;

16X16点阵LED电子显示屏设计

单片机应用系统实验设计16X16点阵LED电子显示屏设计 班级:物电学院电信2班 组员:吕勇军学号:201011020219 黄波201011020220 指导老师:彭建英

日期:2012年12月1号 第一章绪论 1.1单片机的应用 单片机是生活必不可缺的,顾名思义单片机的应用是很广泛的,导弹的导航装置、飞机上各种仪表的控制、计算机的网络通讯和数据传输、工业自动化过程和实时控制数据处理、广泛使用的智能IC卡、民用豪华轿车的安全保障系统、录像机和摄像机、全自动洗衣机的控制、以及程控玩具、电子宠物等等。单片机广泛应用于仪器仪表、家用电器、医用设备、航空航天、专用设备的智能化管理及过程控制等领域。 1.2电子显示屏 随着现代光电技术、微电子技术及计算机技术的飞速发展和普及,LED显示屏已遍及社会 的各个领域。简单的讲,显示屏就是由若干个可组合拼接的显示单元构成屏体,再加上一套适当的控制器。所以多种规格的显示板配合不同技术的控制器就可以组成许多种LED显示屏, 以满足不同环境,不同显示要求的需要。LED显示屏是由几万到几十万个半导体发光二极管 像素点均匀排列组成。禾I」用不同的材料可以制造不同色彩的LED像素点。目前应用最广的是 红色、绿色、黄色。而蓝色和纯绿色LED的开发已经达到了实用阶段。LED显示屏可以显示变化的数字、文字、图形图像;不仅可以用于室内环境还可以用于室外环境,具有投影仪、电视墙、液晶显示屏无法比拟的优点。LED显示屏的分类:按颜色可以分为单基色显示屏、 双基色显示屏、全基色显示屏;按显示器分类LED数码显示屏、LED点阵图文显示屏;按实 用场合分类有室内显示屏和室外显示屏。仔细分解一个LED显示屏,它有以下一些要素构成:金属结构框架、显示单元、扫描控制板、开关电源、双绞线传输电缆、主控制仪、专用显示卡及多媒体卡、电脑及其外设、其它信息源。

汉字显示16X16点阵2

16X16点阵汉字显示89C51(测试OK)

1汉字显示的原理: 我们以UCDOS中文宋体字库为例,每一个字由16行16列的点阵组成显示。即国标汉字库中的每一个字均由256点阵来表示。我们可以把每一个点理解为一个像素,而把每一个字的字形理解为一幅图像。事实上这个汉字屏不仅可以显示 汉字,也可以显示在256像素

我们以显示汉字“大”为例,来说明其扫描原理: 在UCDOS中文宋体字库中,每一个字由16行16列的点阵组成显示。如果用 8位的AT89C51单片机控制,由于单片机的总线为8位,一个字需要拆分为2个部分。 一般我们把它拆分为上部和下部,上部由8*16点阵组成,下部也由8*16点阵组成。 在本例中单片机首先显示的是左上角的第一列的上半部分,即第0列的p00---p 07口。方向为p00到p07 ,显示汉字“大”时,p05点亮,由上往下排列,为p0. 0 灭,p0.1 灭, p0.2 灭p0.3 灭, p0.4 灭, p0.5 亮,p0.6 灭,p0.7 灭。即二进制00000100,转换为16进制为 04h.。 上半部第一列完成后,继续扫描下半部的第一列,为了接线的方便,我们仍设计成由上往下扫描,即从p27向p20方向扫描,从上图可以看到,这一列全部为不亮,即为00000000,16进制则为00h。 然后单片机转向上半部第二列,仍为p05点亮,为00000100,即16进制04 h. 这一列完成后继续进行下半部分的扫描,p21点亮,为二进制00000010,即16进制02h. 依照这个方法,继续进行下面的扫描,一共扫描32个8位,可以得出汉字“大” 的扫描代码为:

16x16LED点阵屏设计

2009级电子信息工程 单片机原理课程设计报告书设计题目LED点阵屏的设计制作 姓名 学号 学院工程技术学院 专业电子信息工程 班级 指导教师 2012年5月3日

目录 一、引言 (1) 1、设计意义 (1) 2、系统功能要求 (1) 二、方案设计 (2) 1、总体设计 (2) 图1 (2) 2、设计论证 (2) 三、硬件设计 (5) 1、单片机系统及其管脚 (5) 2、16x16点阵的级联实现 (6) 3、点阵驱动部分 (8) 4、电源部分 (10) 四、软件设计 (11) 1、显示驱动程序 (11) 2、系统主程序 (12) 五、系统调试 (13) 1、调试主要分为硬件调试和软件调试 (13) 2、程序仿真 (13) 六、总结 (14) 七、参考文献 (15) 八、附录A;原理图 (16) 九、附录B;源程序 (16) 十、附录B;作品实物图、PCB、清单如下: (19)

一、引言 1、设计意义 LED 就是Light Emitting Diode(发光二极管)的缩写。在某些半导体材料的PN结中,注入的少数载流子与多数载流子复合时会把多余的能量以光的形式释放出来,从而把电能直接转换为光能。PN结加反向电压,少数载流子难以注入,故不发光。发光二极管是由p 型和n 型半导体组成的二极管。在LED 的p - n 结附近,n 型材料中多数载流子是电子,p 型材料中多数载流子是空穴。 LED显示屏是一种通过控制半导体发光二极管的显示方式,用来显示文字、图形、录像信号等各种信息的显示屏幕。图文显示屏可与计算机同步显示汉字、英文文本和图形;视频显示屏采用微型计算机进行控制,图文、图像并茂,以实时、同步、清晰的信息传播方式播放各种信息。LED显示屏显示画面色彩鲜艳,立体感强,静如油画,动如电影,广泛应用于车站、码头、机场、商场、医院、宾馆、银行、证券市场、建筑市场、拍卖行、工业企业管理和其它公共场所。 它的优点:亮度高、工作电压低、功耗小、微型化、易与集成电路匹配、驱动简单、寿命长、耐冲击、性能稳定。 2、系统功能要求 设计一个能显示16X16点阵图文LED显示屏,要求能显示文字,并且显示出“XXXXXXX”字样。

51单片机16x16点阵设计

16x16点阵设计 摘要 本设计是一16x16点阵LED电子显示屏的设计,整机以美国ATMEL公司生产的40脚单片机AT89C51为核心,介绍了以它为控制系统的LED点阵电子显示屏的动态设计和开发过程。通过该芯片控制一个驱动器74HC154和两个列驱动器74HC595米驱动显示。该电子显示屏可以显示各种文字或单色图像,全屏能显示1个汉字,采用4块8x8点阵LED显示模块米组成16x16点阵显示模式。显示采用动态显示,是的图形或文字能够实现静止、移入移出等多种显示方式。文中详细介绍了LED点阵显示的硬件设计思路、硬件电路个个部分的功能原理、相应软件的程序设计,以及使用说明等。单片机控制系统程序采用单片机汇编语言进行编辑,通过编程控制各显示点对应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。所显示字符的点阵数据可以自行编写,也可以标准字库中提取。LED显示以其组构方式灵活、显示稳定、功耗低、寿命长、技术成熟、成本低廉等特点在车站、证券所、运动场馆、公交干道及各种室内外显示场合的信息发布,公益宣传,环境参数实时,重大活动倒计时等等得到广泛的应用。设计结果证明,该系统显示误差小,性能稳定,结构合理,扩展能力强。 关键词:AT89C51单片机,LED,点阵显示,动态显示,C语言

目录 1 绪论 (1) 1.1课题描述 (1) 1.2基本工作原理及框图 (1) 2 相关芯片及硬件电路设计 (2) 2.1 51系列单片机简介: (2) 2.2点阵显示原理 (5) 2.3列驱动电路 (6) 2.4行驱动电路 (8) 2.5硬件总体电路 (9) 3 系统软件设计 (10) 3.1显示驱动程序 (10) 3.2系统的主程序 (11) 总结 (12) 致谢 (13) 参考文献 (14) 附录 (15)

16X16led点阵显示原理

Proteus仿真16*16LED点阵显示汉字 技术分类:EDA工具与服务 | 2008-11-08 例.利用Proteus仿真一块16×16LED点阵,并在其上循环显示汉字“郑州大学”。 Proteus中只有5×7和8×8等LED点阵,并没有16×16LED点阵,而在实际应用中,要良好地显示一个汉字,则至少需要16×16点阵。下面我们就首先介绍使用8×8点阵构建16×16点阵的方法,并构建一块16×16LED点阵,用于本例的显示任务。 首先,从Proteus元件库中找到“MATRIX-8X8-RED”元器件,并将四块该元器件放入Proteus文档区编辑窗口中。此时需要注意,如果该元器件保持初始的位置(没有转动方向),我们要首先将其左转90°,使其水平放置,那么此时它的左面8个引脚是其行线,右边8个引脚是其列线(当然,如果你是将右转,则右边8个引脚是行线)。然后我们将四个元器件对应的行线和列线分别进行连接,使每一条行线引脚接一行16个LED,列线也相同。并注意要将行线和列线引出一定长度的引脚,以便下面我们使用。 连接好的16×16点阵如下图所示: 连接成如上图的16×16点阵只是第一步,这样分开的数块并不能达到好的显示效果,下面我们要将其进一步组合。组合实际上很简单,首先选中如上图中右侧的两块8×8点阵,然后拖动并使其与左侧的两块相并拢,如下图所示:

我们可以看到原来的连线已经自动隐藏了,至于线上的交点,我们不要去动。然后,我们再来最后一步,选中下侧的两块点阵,并拖动使其与上侧的两块并拢,最后的效果如下图所示:

可以看到,原来杂乱的连线现在已经几乎全部隐藏了,一块16×16的LED点阵做成了。需要注意,做成的LED点阵的行线为左侧的16个引脚,下侧的16个引脚为其列线,而且其行线为高电平有效,列线为低电平有效。然后,我们将其保存,以便以后使用。 制作好16×16LED点阵,我们接下来来进行本例的实验。 由于本例的软件程序需要首先注意硬件连接,所以,我们首先来看一下本例的电路图。电路图中用到了74159集成芯片,其功能是将4位输入译为16输出(低电平有效),刚好满足我们的要求。电路图中的其他元器件我们在以前的仿真实例中都已介绍过,此处不再赘述。最终完成的电路图如下所示: 绘制好电路图,下面我们来编辑程序源文件,如下图:

相关文档