文档库 最新最全的文档下载
当前位置:文档库 › 数字电子技术实验指导书.pdf

数字电子技术实验指导书.pdf

数字电子技术实验指导书.pdf
数字电子技术实验指导书.pdf

电力电子技术实验指导书

实验一单结晶体管触发电路及示波器使用 班级学号姓名 同组人员 实验任务 一.实验目的 1.熟悉单结晶体管触发电路的工作原理及各元件的作用。 2.掌握单结晶体管触发电路的调试步骤和方法。 3.详细学习万用表及示波器的使用方法。 二.实验设备及仪器 1.教学实验台主控制屏 2.NMCL—33组件 3.NMCL—05E组件 4.MEL—03A组件 5.双踪示波器(自备) 6.万用表(自备) 7. 电脑、投影仪 三.实验线路及原理 将NMCL—05E面板左上角的同步电压输入接SMCL-02的U、V输出端,触发电路选择单结晶体管触发电路,如图1所示。 图1单结晶体管触发电路图 四.注意事项 双踪示波器有两个探头,可以同时测量两个信号,但这两个探头的地线都与示波器的外

壳相连接,所以两个探头的地线不能同时接在某一电路的不同两点上,否则将使这两点通过示波器发生电气短路。为此,在实验中可将其中一根探头的地线取下或外包以绝缘,只使用其中一根地线。当需要同时观察两个信号时,必须在电路上找到这两个被测信号的公共点,将探头的地线接上,两个探头各接至信号处,即能在示波器上同时观察到两个信号,而不致发生意外。 五.实验内容 1.实验预习 (1)画出晶闸管的电气符号图并标明各个端子的名称。 (2)简述晶闸管导通的条件。 (3)示波器在使用两个探针进行测量时需要注意的问题。 2. 晶闸管特性测试 请用万用表测试晶闸管各管脚之间的阻值,填写至下表。 + A K G - A K G 3.单结晶体管触发电路调试及各点波形的观察 按照实验接线图正确接线,但由单结晶体管触发电路连至晶闸管VT1的脉冲U GK不接(将NMCL—05E面板中G、K接线端悬空),而将触发电路“2”端与脉冲输出“K”端相连,以便观察脉冲的移相范围。 合上主电源,即按下主控制屏绿色“闭合”开关按钮。这时候NMCL—05E内部的同步变压器原边接有220V,副边输出分别为60V(单结晶触发电路)、30V(正弦波触发电路)、7V(锯齿波触发电路),通过直键开关选择。 合上NMCL—05E面板的右下角船形开关,用示波器观察触发电路单相半波整流输出(“1”),梯形电压(“3”),梯形电压(“4”),电容充放电电压(“5”)及单结晶体管输出电压(“6”)和脉冲输出(“G”、“K”)等波形,并绘制在下图相应位置。

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

数字电子技术实验心得

数字电子技术实验心得 这学期学了数字电子技术实验,让我了解到了更多知识,加深了对数字电子技术的理解。这是一门理论与实践密切相关的学科,能让我们自己去验证一下书上的理论,自己去设计,这有利于培养我们的实际设计能力和动手能力。 通过数字电子技术实验, 我们不仅仅是做了几个实验,不仅要学会实验技术,更应当掌握实验方法,即用实验检验理论的方法,寻求物理量之间相互关系的方法,寻求最佳方案的方法等等,掌握这些方法比做了几个实验更为重要。 在做实验前,一定要将课本上的知识吃透,因为这是做实验的基础,否则,在老师讲解时就会听不懂,这将使你在做实验时的难度加大,浪费做实验的宝贵时间.做实验时,一定要亲力亲为,务必要将每个步骤,每个细节弄清楚,弄明白,实验后,还要复习,思考,这样,你的印象才深刻,记得才牢固,否则,过后不久你就会忘得一干二净,这还不如不做.做实验时,老师还会根据自己的亲身体会,将一些课本上没有的知识教给我们,拓宽我们的眼界,使我们认识到这门课程在生活中的应用是那么的广泛. 我也学习到一些经验: 1、如果发现了实验中问题所在,此时,我们应该静下心来,冷静地分析问题的所在,有可能存在哪一环节,比如实验原理不正确,或是实验电路需要修正等等,只有这样我们的能力才能有所提高。不要盲目的把导线全部拆掉,然后又重新连接一遍,这样不但浪费时间,而且也无法达到锻炼我们动手动脑能力的目的。 2、在实验过程中,我们也要学会分工协作,不能一味的我行我素或是自己一点也不参与其中。 3、在实验过程中,要互相学习,学习优秀同学的方法和长处,同时也要学会虚心向指导老师请教,当然这要建立在自己独立思考过的基础上。 在实验的过程中我们要培养自己的独立分析问题,和解决问题的能力。培养这种能力的前题是你对每次实验的态度。数字电子技术实验,有利于掌握知识体系与学习方法,有利于激发我们学习的主动性,增强自信心,有利于培养我们的创新钻研的能力,有利于书本知识技能的巩固和迁移。我们认为,在这学期的实

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

电力电子技术实验指导书最新版

电力电子技术实验指导书 第一章概述 一、电力电子技术实验内容与基本实验方法 电力电子技术是20世纪后半叶诞生和发展的一门新技术,广泛应用于工业领域、交通运输、电力系统、通讯系统、计算机系统、能源系统及家电、科研领域。 电力电子技术课程既是一门技术基础课程,也是一门实用性很强的应用型课程,因此实验在教学中占有十分重要的位置。 电力电子技术实验课的主要内容为:电力电子器件的特性研究,重点是开关特性的研究;电力电子变换电路的研究,包括:三相桥式全控整流电路(AC/DC 变换)、SPWM逆变电路(DC/AC变换)、直流斩波电路(DC/DC变换)、单相交流调压电路(AC/AC变换)四大类基本变流电路。 电力电子技术实验借助于现代化的测试仪器与仪表,使学生在实验的同时熟悉各种仪器的使用,以进一步提高实验技能。 波形测试方法是电力电子技术实验中基本的、常用的实验方法,电力电子器件的开关特性依据波形测试而确定器件的工作状态及相应的参数;电力电子变换电路依据波形测试来分析电路中各种物理量的关系,确定电路的工作状态,判断各个器件的正常与否。因此,掌握不同器件、不同电路的波形测试方法,可以使学生进一步掌握电力电子电路的工作原理以及工程实践的方法。

本讲义参考理论课的内容顺序编排而成,按照学生掌握知识的规律循序渐进,旨在加强学生实验基本技能的训练、实现方法的掌握;培养和提高学生的工程设计与应用能力。 由于编者水平有限,难免有疏漏之处,恳请各位读者提出批评与改进意见。 二、实验挂箱介绍与使用方法 (一)MCL—07挂箱电力电子器件的特性及驱动电路 MCL—07挂箱由GTR驱动电路、MOSFET驱动电路、IGBT驱动电路、PWM 发生器、主电路等部分组成。 1、GTR驱动电路:内含光电耦合器、比较器、贝克箝位电路、GTR功率器件、串并联缓冲电路、保护电路等。可对光耦特性(延迟时间、上升时间、下降时间),贝克电路对GTR导通关断特性的影响,不同的串、并联电路对GTR开关特性的影响以及保护电路的工作原理进行分析和研究。 2、MOSFET驱动电路:内含高速光耦、比较器、推挽电路、MOSFET功率器件等。可以对高速光耦、推挽驱动电路、MOSFET的开启电压、导通电阻R ON、跨导g m、反相输出特性、转移特性、开关特性进行研究。 3、IGBT电路驱动:采用富士IGBT专用驱动芯片EXB841,线路典型,外扩保护电路。可对EXB841的驱动电路各点波形以及IGBT的开关特性进行研究。 本挂箱的特点: (1)线路典型,有助于对基本概念的理解,力求通过实验,使学生对自关断器件的特性有比较深刻的理解。

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

电力电子技术仿真实验指导书

《电力电子技术实验》指导书 合肥师范学院电子信息工程学院

实验一电力电子器件 仿真过程: 进入MATLAB环境,点击工具栏中的Simulink选项。进入所需的仿真环境,如图所示。点击File/New/Model新建一个仿真平台。点击左边的器件分类,找到Simulink和SimPowerSystems,分别在他们的下拉选项中找到所需的器件,用鼠标左键点击所需的元件不放,然后直接拉到Model平台中。 图 实验一的具体过程: 第一步:打开仿真环境新建一个仿真平台,根据表中的路径找到我们所需的器件跟连接器。

提取出来的器件模型如图所示: 图 第二步,元件的复制跟粘贴。有时候相同的模块在仿真中需要多次用到,这时按照常规的方法可以进行复制跟粘贴,可以用一个虚线框复制整个仿真模型。还有一个常用方便的方法是在选中模块的同时按下Ctrl键拖拉鼠标,选中的模块上会出现一个小“+”好,继续按住鼠标和Ctrl键不动,移动鼠标就可以将模块拖拉到模型的其他地方复制出一个相同的模块,同时该模块名后会自动加“1”,因为在同一仿真模型中,不允许出现两个名字相同的模块。 第三步,把元件的位置调整好,准备进行连接线,具体做法是移动鼠标到一个器件的连接点上,会出现一个“十字”形的光标,按住鼠标左键不放,一直到你所要连接另一个器件的连接点上,放开左键,这样线就连好了,如果想要连接分支线,可以要在需要分支的地方按住Ctrl键,然后按住鼠标左键就可以拉出一根分支线了。 在连接示波器时会发现示波器只有一个接线端子,这时可以参照下面示波器的参数调整的方法进行增加端子。在调整元件位置的时候,有时你会遇到有些元件需要改变方向才更方便于连接线,这时可以选中要改变方向的模块,使用Format菜单下的Flip block 和Rotate

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器

三、(本题30分) 由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 C R R CC u o

(3)输出Z的序列是0010001100 第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答:1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

数字电子技术基础期末考试试卷及答案1[1]

数字电子技术基础试题(一) 填空题: (每空1数字电子技术基础试题(一) 一、分,共10分) 1.(30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为12 条、数据线为 8 条。 二、选择题:(选择一个正确的答案填入括号内,每题3分,共30分) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。

2.下列几种TTL电路中,输出端可实现线与功能的电路是(D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、D、双积分A/D转换器 7.某电路的输入波形u I 和输出波形u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

电力电子技术实验指导书

景德镇陶瓷学院 机械电子工程学院 电子电子技术 实验指导书 专业:自动化 实验室:A1栋408 二零一五年六月制 实验一单结晶体管触发电路及单相半波可控整流电 路实验 一.实验目的 1.熟悉单结晶体管触发电路的工作原理及各元件的作用。 2.掌握单结晶体管触发电路的调试步骤和方法。 3.对单相半波可控整流电路在电阻负载及电阻电感负载时工作情况作全面分析。 4.了解续流二极管的作用。

二.实验内容 1.单结晶体管触发电路的调试。 2.单结晶体管触发电路各点波形的观察。 3.单相半波整流电路带电阻性负载时特性的测定。 4.单相半波整流电路带电阻—电感性负载时,续流二极管作用的观察。 三.实验线路及原理 将单结晶体管触发电路的输出端“G”“K”端接至晶闸管VT1的门阴极,即可构成如图4-1所示的实验线路。 四.实验设备及仪器 1.MCL系列教学实验台主控制屏 2.MCL—18组件(适合MCL—Ⅱ)或MCL—31组件(适合MCL—Ⅲ) 3.MCL—33(A)组件或MCL—53组件(适合MCL—Ⅱ、Ⅲ、Ⅴ)4.MCL—05组件或MCL—05A组件 5.MEL—03三相可调电阻器或自配滑线变阻器 6.二踪示波器 7.万用表 五.注意事项 1.双踪示波器有两个探头,可以同时测量两个信号,但这两个探头的地线都与示波器的外壳相连接,所以两个探头的地线不能同时接在某一电路的不同两点上,否则将使这两点通过示波器发生电气短路。为此,在实验中可将其中一根探头的地线取下或外包以绝缘,只使用其中一根地线。当需要同时观察两个信号时,必须在电路上找到这两个被测信号的公共点,将探头的地线接上,两个探头各接至信号处,即能在示波器上同时观察到两个信号,而不致发生意外。 2.为保护整流元件不受损坏,需注意实验步骤:

数字电子技术实验-在线

数字电子技术综合实验指导书 实验一门电路功能测试及应用 一.实验目的 1.掌握了解TTL系列、CMOS系列外形及逻辑功能。 2.熟悉各种门电路参数的测试方法。 3. 熟悉集成电路的引脚排列 二、实验仪器及材料 a)东南大学在线实验平台-SEU远程实境实验平台数字逻辑电路实验 三.预习要求 1)复习门电路工作原理及相应逻辑表达式。 2)常用TTL门电路和CMOS门电路的功能、特点。 3)熟悉所用集成电路的引线位置及各引线用途。 四、实验原理及芯片外引线图、逻辑符号及逻辑图 1.TTL门电路 TTL门电路是数字电路中应用最广泛的门电路,基本门有与门、或门和非门。复合门有与非门、或非门、与或非门和异或门等。这种电路的电源电压为+5V,电源电压允许变化范围比较窄,一般在 4.5~5.5V 之间。高电平的典型值是3.6V(高电平≥2.4V合格),低电平的典型值是0.3V(低电平≤0.45V合格)。 对门电路的多余输入端,最好不要悬空,虽然对TTL门电路来说,悬空相当于逻辑1,并不影响与门、与非门的逻辑关系,但悬空容易接受干扰,有时会造成电路的误动作。不同的逻辑门,其多余输入端的处理有不同的方法。 ⑴TTL与门、与非门多余输入端的处理 TTL与门、与非门多余输入端的处理方法是:把多余的输入端与有用的输入端并联使用;把多余输入端接高电平或通过串接限流电阻(大于或等于1KΩ)接V CC。实际使用中多采用把多余的输入端通过串接限流电阻接V CC的方法。其处理方法如图5-1所示。 (a) (b) (c) 图5-1 TTL与门与非门多余输入端的处理方法 ⑵TTL或门、或非门多余输入端的处理 TTL或门、或非门多余输入端的处理方法是:把多余的输入端与有用的输入端并联使用;把多余的输入端接低电平或接地。 2.CMOS门电路 CMOS门电路具有输入电阻高、功耗小、制造工艺简单、集成度高、电源电压变化范围大(3~18V)、输出电压摆幅大和噪声容限高等优点,因而在数字电路中得到了广泛的应用。高电平的典型值是电源电压V DD,低电平的典型值是0V。由于CMOS门电路的输入电阻很高,容易受静电感应而造成击穿,使其损坏,因此使用时应注意以下几点:

数字电子技术实验指导书 新

数字电子技术基础 实验指导书 (适用于数字逻辑、数字电子技术基础、数字电子技术等课程) 北京印刷学院 1

北京印刷学院 信息与机电工程学院 信息工程系 《数字电子技术基础实验》 指 导 书 (适用于数字逻辑、数字电子技术基础、数字电子技术等课程) 电路教研室编 2

3 实验一 示波器的实验研究 一、实验目的与要求 1.掌握COS5020型或V —212E 型双踪示波器的使用方法 2.掌握用示波器测量脉冲波形主要参数的方法 3.熟悉TPE —D6数字电路学习机的使用 二、实验设备与器材 1.双踪示波器 2.数字电路学习机 三、实验内容与步骤 1.双线显示示波器内的CAL 信号 通过检验该信号的周期与幅度,熟悉示波器各旋钮的作用,并测量该信号的周期与幅度。 =CAL V =C A L T 2.示波器测量 用示波器测量数字电路学习机中CP 脉冲的周期(开关放在可调连续脉冲Ⅰ、Ⅱ位置,电位器顺逆时针旋转到底位置),以及该脉冲的逻辑高电平。 =I ax m V =I min T = ax m V = min T 3.观察与测量RC 网络对矩形波信号的响应 本实验所用的电路形式如图1-1所示。 图1-1 RC 实验电路 v I 为输入方波信号,其周期为T =0.1ms 。 (1)RC 微分电路 实验电路中的Z 1和Z 2分别是电容C 和电阻R ,元件参数按表1-1选取,观察与测量输出信号v O 的波形,并测量其脉冲宽度。 (2)RC 积分电路 实验电路中的Z 1和Z 2分别是电阻R 和电容C ,元件参数按表1-2选取,观察与测量输出信号v O 的波形,并测量其脉冲上升时间。 四、预习要求

电力电子技术及电机控制实验指导书 第一章

第三章电力电子技术实验 本章节介绍电力电子技术基础的实验内容,其中包括单相、三相整流及有源逆变电路,直流斩波电路原理,单相、三相交流调压电路,单相并联逆变电路,晶闸管(SCR)、门极可关断晶闸管(GTO)、功率三极管(GTR)、功率场效应晶体管(MOSFET)、绝缘栅双极性晶体管(IGBT)等新器件的特性及驱动与保护电路实验。 实验一单结晶体管触发电路实验 一、实验目的 (1)熟悉单结晶体管触发电路的工作原理及电路中各元件的作用。 (2)掌握单结晶体管触发电路的调试步骤和方法。 二、实验所需挂件及附件 单结晶体管触发电路的工作原理已在1-3节中作过介绍。 四、实验内容 (1)单结晶体管触发电路的调试。 (2)单结晶体管触发电路各点电压波形的观察。 五、预习要求 阅读本教材1-3节及电力电子技术教材中有关单结晶体管的内容,弄清单结晶体管触发电路的工作原理。 六、思考题 (1)单结晶体管触发电路的振荡频率与电路中C1的数值有什么关系? (2)单结晶体管触发电路的移相范围能否达到180°? 七、实验方法 (1)单结晶体管触发电路的观测 将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为220V 10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察单结晶体管触发电路,经半波整流后“1”点的波形,经稳压管削波得到“2”点的波形,调节移相电位器RP1,观察“4”点锯齿波的周期变化及“5”点的触发脉冲波形;最后观测输出的“G、K”触发电压波形,其能否在30°~170°范围内移相? (2)单结晶体管触发电路各点波形的记录

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

电力电子技术实验指导书

电力电子技术实验指导书郑州轻工业学院 电气工程实验中心 2006年3月

目录 BZT—Ⅲ B型变流、交直流调速实验装置简介及实验操作注意事项 (2) 实验一单相半控桥可控整流电路的研究 (5) 实验二三相桥式全控整流电路的研究 (8) 实验三单相交流调压电路的研究 (13) 实验四 IGBT直流斩波电路的研究 (17) 实验五 DC/AC单相半桥SPWM逆变电路性能研究 (21)

BZT—Ⅲ B型变流、交直流调速实验装置简介及 实验操作注意事项 一、概述 BZT—Ⅲ B型变流、交直流调速实验装置是华中师范大学机电厂研制生产的教学实验设备,该装置功能齐全,结构可靠,采用模块化设计,移动组合方便,面板布局直观。测试点用专门的接线端子引至面板,便于接线调试,测量及显示仪表全部采用三位半数显表。该装置供电力电子变流技术实验和交直流调速实验,也可供学生课程设计、毕业设计和有关科研使用。 二、总体结构 本装置外形尺寸为1550×800×780。实验桌上带有滑轮导轨的三个抽屉,分别装有实验所需的交直流电源、变压器、开关、熔断器及各种保护电路。各路交直流电源的输出端子都引到控制面板接线柱及台阶插座上,控制开关及可调旋纽也全部安装在面板上,并画有各个独立环节的电路原理图。实验电路全部画在各个模块面板上,接线柱、电位器也安装在电路相应的位置上,测试孔位置清晰、直观,通过模块和电源等共同构成相应的实验系统。 三、主要技术指标 (1)输入电源:三相四线 380V 50Hz (2)装置容量:10KVA (3)实验电源: 提供(a)三项四线制 380V交流电源。 (b)直流可调电源0―250V、8A。 (c)直流可调电源0―230V、8A。 (d)单相220V工作电源。 (e)直流稳压电源5V,1A;±15V,1A;30V,500mA (4)绝缘电阻:>5MΩ (5)漏电保护:漏电动作电流≥30mΑ 四、面板操作功能及操作方法 (1)面板操作功能说明: 1、漏电保护开关。 2、总电源开。 3、总电源关。 4、单相调压手柄。 5、三相电源(主电路) 开。6、三相电源(主电路)关。7、三相电路指示灯。8、三相电路输出指示灯。9、交流0―300V数字显示表。10、直流0―300V数字显示表。11、工作220V电源插座。12、交流380V/220V输出接线柱。13、急停开关。14、交流0―220V输出接线柱。15、直流0―220V输出接线柱。16、交直流可调电压输出开关。17、保险座(保险丝为10A)。18、

数字电子技术实验指导书

数字电子技术实验指导书 (学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截

相关文档
相关文档 最新文档