文档库 最新最全的文档下载
当前位置:文档库 › 数字逻辑与系统设计习题(1-3)

数字逻辑与系统设计习题(1-3)

数字逻辑与系统设计习题(1-3)
数字逻辑与系统设计习题(1-3)

第1章习题

一.单选题:

1.以下代码中为恒权码的是( )。

A )余3循环码

B )5211码

C )余3码

D )右移码

2.一位八进制数可以用( )位二进制数来表示。

A )1

B )2

C )3

D )4

3.十进制数43用8421BCD 码表示为( )

A )10011

B )0100 0011

C )1000011

D )10011

4.A + BC =( )

A )A

B + A

C B )ABC C )(A +B)(A + C)

D )BC

5.在函数L(A,B,C,D) = AB + CD 的真值表中,L=1的状态有( )

A )2个

B )4个

C )6个

D )7个

6.已知两输入逻辑变量AB 和输出结果Y 的真值表如下表,则AB 的逻辑关系为( )

A )同或

B )异或

C )与非

D )或非 7.利用约束项化简逻辑函数时,约束项应看成( ) A )1

B )2

C )能使圈组大的看成1,其它看成0

D )无所谓

8.当逻辑函数有 n 个变量时,共有( )组变量取值组合

A )n

B )2n

C )n 2

D )2n

9.利用卡诺图化简逻辑函数时,8个相邻的最小项可消去( )个变量。

A )1

B )2

C )3

D )4

10.下面的卡诺图化简,应画( )个包围圈。

A )2

B )3

C )4

D )5

11.卡诺图中,变量的取值按( )规律排列。

A )Ascii 码

B )8421BCD 码

C )余3码

D )循环码

12.4变量逻辑函数的真值表,表中的输入变量的取值应有( )种。

A )2

B )4

C )8

D )16

13.TTL 逻辑电路是以( )为基础的集成电路

A )三极管

B )二极管

C )场效应管

D )晶闸管

14.CMOS 逻辑电路是以( )为基础的集成电路

A )三极管

B )NMOS 管

C )PMOS 管

D )NMOS 管和PMOS 管

二.判断题:

1.十进制数(64.5)10与(40.8)16等值。( )

2.在任一输入为1的情况下,"或非"运算的结果是逻辑0。 ( ) A B Y 0 0 0 0 1 1 1 0 1 1 1 0

3.逻辑变量的取值,1比0大。 ( )

4.如果 A + B = A + C ,则 B = C 。( )

5.十进制数(5)10比十六进制数(5)16小。 ( )

6.若两个逻辑函数具有不同的表达式,则两个逻辑函数必然不相等。 ( )

7.若两个逻辑函数具有不同的真值表,则两个逻辑函数必然不相等。( )

8.函数F(A,B,C,D)中,最小项CD B A 对应的最小项编号是m 13。( )

9.

三.填空题:

1.135.625 = ( )2 = ( )8 = ( )16

2.(10111001.11)2 = ( )10

3.94 = ( )8421BCD

4.德.摩根定理是:=+B A

=?B A

异或的定义为:=⊕B A

同或的定义为:A ⊙B =

5.逻辑表达式C A AB +对应的标准与或表达式是( )。

6.最简与或式C A AD +对应的最简与非与非式是( )。

7.函数B A AB Y ?+=的反函数是:

8.某函数有n 个变量,则共有 个最小项。

9.当ABCD 的值分别为1100时,表达式BC C A AD ++的运算值为( )。

10.当ABCD 的值分别为1100时,表达式1⊕⊕⊕⊕D C B A 的运算值为( )。

四.综合题

1.用公式法化简函数为最简与或式:

(1)C B DE C B B BD C A A Y +++++=)()(

(2)))((D B A D B A B A B A AB +++++

(3)DE B A D BC A C B A D C D B C B AC Y +++++++=)(

2.用卡诺图将下列函数化简为最简与或式:

(1)BCD C B D B A B A D C B A Y ++++=

(2)F(A,B,C,D)=Σm (0,2,4,5,6,7,8,10,12,14)

(3)F(A,B,C,D)=Σm (1,2,6,7,10,11)+ Σd (3,4,5,13,15)

3.写出图中所示逻辑图的表达式,并列出真值表,写出标准与或式。

A

B

C Y

4.画出以下逻辑表达式对应的逻辑图(注意,不要化简)。

Y)

(⊕

+

=

B

BC

B

A

A

第2章习题

单选题:

1.若在编码器中有50个编码对象,则输出二进制代码位数至少需要()位。

A)5 B)6 C)10 D)50

2.一个16选1的数据选择器,其选择控制(地址)输入端有()个,数据输入端有()个,输出端有()个。

A)1 B)2 C)4 D)16

3.一个8选1的数据选择器,当选择控制端S2S1S0的值分别为101时,输出端输出()的值。

A)1 B)0 C)D4D)D5

4.一个译码器若有100个译码输出端,则译码输入端至少有()个。

A)5 B)6 C)7 D)8

5.能实现并-串转换的是()。

A)数值比较器B)译码器

C)数据选择器D)数据分配器

6.能实现1位2进制带进位加法运算的是()。

A)半加器B)全加器C)加法器D)运算器

7.欲设计一个3位无符号数乘法器(即3×3),需要()位输入及()位输出信号。A)3,6 B)6,3 C)3,3 D)6,6

8.欲设计一个8位数值比较器,需要()位数据输入及()位输出信号。

A)8,3 B)16,3 C)8,8 D)16,16

9. 4位输入的二进制译码器,其输出应有()位。

A)16 B)8 C)4 D)1

判断题:

1. 在二——十进制译码器中,未使用的输入编码应做约束项处理。

2. 编码器在任何时刻只能对一个输入信号进行编码。

3. 优先编码器的输入信号是相互排斥的,不容许多个编码信号同时有效。

4. 编码和译码是互逆的过程。

5. 共阴发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。

6. 3位二进制编码器是3位输入、8位输出。

7. 组合逻辑电路的特点是:任何时刻电路的稳定输出,仅仅取决于该时刻各个输入变量的取值,与电路原来的状态无关。

8. 半加器与全加器的区别在于半加器无进位输出,而全加器有进位输出。

9. 串行进位加法器的优点是电路简单、连接方便,而且运算速度快。

10. 二进制译码器的每一个输出信号就是输入变量的一个最小项。

11. 竞争冒险是指组合电路中,当输入信号改变时,输出端可能出现的虚假信号。

综合题:

1.如图所示逻辑电路是一个什么电路,当A3~A0输入0110,B3~B0输入1011,Cin 输入1时,时,Cout 及S3~S0分别输出什么? +

A 3

B 3

C in S 3C out +++A 2B 2A 1B 1A 0B 0S 2S 1S 0

2.使用门电路设计一个4

选1的数据选择器,画出逻辑图。

3.请设计一个简单的二—十进制编码器(采用余3码编码)。

注:编码规则见课本P12表1-1。

4.利用门电路设计一个1路-4路数据分配器。数据分配器的功能与数据选择器功能相反,相当于一个1路-多路的开关,可以实现数据的串-并转换。1路-4路数据分配器的结构示意图如下图,其功能是将输入的数据选通送至4个输出中的一个。当S1S0=00时,Y0=D ;当S1S0=01时,Y1=D ;当S1S0=10时,Y2=D ;当S1S0=11时,Y3=D 。

5.利用与门(74HC08)及4位加法器(74HC283)设计一个3×2乘法器,画出逻辑图。

第3章习题

一.单选题:

1.1个触发器可记录一位二进制代码,它有( )个稳态。

A )0

B )1

C )2

D )3

2.对于JK触发器,若J=K,则可完成()触发器的逻辑功能。

A)D B)RS C)T D)T'

3.对于JK触发器,若K=/J(/代表非号),则可完成()触发器的逻辑功能。

A)D B)RS C)T D)T'

4.基本RS锁存器输入端禁止的情况为()。

A)R=1 S=1 B)/R=1 /S=1(/代表非号)

C)R=0 S=0 D)RS=0

5.触发器的异步置位端Set、Clr不能同时取值为()。

A)Set=1 , Clr=1 B)Set=0, Clr =0

C)Set=1 ,Clr =0 D)Set=0, Clr =1

6.JK触发器在J、K端同时输入高电平,处于()功能。

A)置0 B)置1 C)保持D)翻转

7.时序逻辑电路的特点是()。

A)仅由门电路组成B)无反馈通路

C)有记忆功能D)无记忆功能

8.4个触发器构成的8421BCD码计数器共有()个无效状态。

A)6 B)8 C)10 D)4

9.4位二进制计数器计数容量为()。

A)4 B)8 C)16 D)10

10.要构成五进制计数器,至少需要()个触发器。

A)2 B)3 C)4 D)5

11.N进制计数器的特点是设初态后,每来()个Clk,计数器又重回初态。

A)N-1 B)N+1 C)N D)2N

12.将两片4位二进制同步加法计数器芯片级联,最大可构成()进制计数器。

A)16 B)255 C)256 D)100

13.由4个触发器组成的二进制加法计数器,当初始状态为1010时,经过()个Clk 脉冲,计数器的状态会变为0101。

A)4 B)10 C)11 D)16

14.触发器符号中Clk输入端的小圆圈表示()。

A)高电平有效B)低电平有效C)上升沿触发D)下降沿触发

二.判断题:

1. 触发器有互补的输出,通常规定Q=1 、/Q=0称触发器为0态。

2. D触发器的特性方程为Q n+1=D,与Q n无关,所以它没有记忆功能。

3. 对于边沿JK触发器,在Clk为高电平期间,当J=K=1时,状态会翻转一次。

4. 经过有限个Clk,可由任意一个无效状态进入有效状态的计数器是能自启动计数器。

5. 计数器在电路组成上的特点是有Clk输入,无其他输入信号。

6. 设计一个同步的计数容量为5的计数器,需要5个触发器。

7. 采用异步清零方式的计数器,当清零信号到来时会立刻产生清零效果。

8. 采用同步清零方式的计数器,当清零信号到来时会立刻产生清零效果。

9. 时序电路中如存在无效状态,应检查是否能自启动。

三.填空题:

1.RS触发器的功能有(),特征方程为()。

2.JK 触发器的功能有( ),特性方程为( )。

3.D 触发器的功能有( ),特性方程为( )。

4.T 型触发器的功能有( ),特性方程为( )。

5.边沿D 触发器的D 端与/Q 端相连,此时触发器的功能为( )。

6.在时序电路中,凡是被利用了的状态,都叫做( )。

7.在时序电路中,虽然存在无效状态,但他们没有形成循环,这样的时序电路叫做( )时序电路。

8.计数器和触发器都属于( )电路。

9.如要设计一个由JK 触发器构成的十进制同步加法计数器,需( )个JK 触发器。

10.八进制计数器设置初态100后,经过( )个CP 脉冲,计数器状态为010。

四.综合题:

1.画出如图所示的触发器的波形图(设初态为0态):

G1G2R S Q

Q

2.画出如图所示的触发器的波形图(设初态为0态):

D Q

Q

3.画出下降沿触发的边沿JK 触发器的波形图(设初态为0态):

4.分别画出由JK 触发器转换成D 触发器和T 触发器的逻辑电路。

5.利用图(b)所示触发器设计一个能实现图(a)

所示状态图的同步时序电路。画出电路逻辑图,并画出初始状态为000的波形图(至少画10个Clk 脉冲)。

(a ) (b)

数字逻辑和设计基础 期末复习题

1、采用3-8线译码器74LS138和门电路构成的逻辑电路如图所示,请对该电路进行分析,写出输出方程,并化解为最简与-或式。(10分) 1、解:分析此图,可知:F1=0134m m m m +++, F2=4567m m m m +++ 化简过程:由卡诺图及公式化简均可,此处略 化简得:1F A C BC =+(2分) 2F A = 2.已知逻辑函数: F ABC ABC ABC ABC ABC =++++,试用一片4选1数据选择器和门电路实现该逻辑函数,要求采用代数法,写出设计全过程,并画出电路图。 (10分) A 1 ST Y D 0D 1D 2D 3 A 0 ① 写出逻辑函数F 的表达式(2分) ==F A B C AB C ABC A BC ABC A B C AB C C A BC ABC A B C AB A BC ABC =+++++++++++() ② 写出4选1数据选择器输出端逻辑函数Y 的表达式(2分) 100101102103Y A A D A A D A A D A A D =+++ ③令 10A A A ==、B ,比较F 和Y 两式可得: (2分)

01231D C D D D C ==== ④ 根据上式画出的逻辑图。(4分) 五、 画出下列各触发器Q 端的波形:(设Q n = 0)(10 分,每小题5 分) 1、已知JK 触发器输入信号J 和K 、时钟脉冲CP 、异步置位端D R 和D S 的波形如下图 所示,试画出触发器输出端Q 的波形,设初始状态为0。(5分) Q CP J S D D K J 2、下图由边沿D 触发器构成的触发器电路,设其初始状态为0。输入信号如右 图所示,试画出Q 端的输出波形。(5分) CP Q D R D

数字逻辑设计试题中文+答案

2003数字逻辑考题 一 填空题 (每空1分,共15分) 1 [19]10=[ 11010 ]Gray (假设字长为5bit ) 2 若X=+1010,则[X]原=( 00001010 ),[-X]补=( 11110110 ),(假设字长为8bit ) 3 [26.125]10=[ 1A.2 ]16=[ 00100110.000100100101 ]8421BCD 4 65进制的同步计数器至少有( 7 )个计数输出端。 5 用移位寄存器产生11101000序列,至少需要( 3 )个触发器。 6 要使JK 触发器按'*Q Q =工作,则JK 触发器的激励方程应写为(1,1 );如果用D 触发器实现这一转换关系,则D 触发器的激励方程应写为( Q ’ )。 7 在最简状态分配中,若状态数为n ,则所需的最小状态变量数应为([log 2n] )。 8 有n 个逻辑变量A ,B ,C ….W ,若这n 个变量中含1的个数为奇数个,则这n 个变量相异或的结果应为( 1 )。 9 一个256x4bit 的ROM 最多能实现( 4 )个( 8 )输入的组合逻辑函数。 10 一个EPROM 有18条地址输入线,其内部存储单元有( 218 )个。 11 所示CMOS 电路如图Fig.1,其实现的逻辑函数为F=( A NAND B (AB)' ) (正逻辑)。 二 判断题 (每问2分,共10分) 1 ( T )计数模为2n 的扭环计数器所需的触发器为n 个。 2 ( F )若逻辑方程AB=AC 成立,则B=C 成立。 3 ( F )一个逻辑函数的全部最小项之积恒等于1。 4 ( T )CMOS 与非门的未用输入端应连在高电平上。 5 ( F )Mealy 型时序电路的输出只与当前的外部输入有关。 Fig.1 三 (16分) 1 化简下列函数(共6分,每题3分) 1) ()()∑=15,13,11,10,9,8,7,3,2,0,,,m D C B A F 2) ()()()∑∑+=14,5,3,013,12,10,8,6,1,,,d m D C B A F F +E D

数字逻辑设计习题参考答案 (第2,3章)

数字逻辑设计 习题册 班级: 学号: 姓名: 哈尔滨工业大学(威海) 计算机科学与技术学院体系结构教研室

第2章 逻辑代数基础 2—1 填空 1.摩根定理表示为:=?B A _B A + __;=+B A _B A ?__。 2. 函数表达式D C AB Y ++=,则其对偶式为='Y _D C B A ??+)(_______。 3.根据反演规则,若C D C B A Y +++=,则=Y C D C B A ?++)(。 4.函数式CD BC AB F ++=写成最小项之和的形式结果为 ()15,14,113,12,11,7,6,3∑m , 写成最大项之积的形式结果为)10,9,8,5,4,2,1,0(∏M 。 5. (33.33)10 =(100001.0101 )2 =( 41.2 )8 =( 21.5 )16 2—2 证明 1.证明公式()()A BC A B A C +=++成立。 2.证明此公式B A B A A +=+成立。 3.证明此公式)()()()()(C A B A C B C A B A +?+=+?+?+成立。 左边 (由分配律得) 右边 BC A BC B C A BC BA AC AA C A B A +=+++=+++=++)1())((B A A A B B B A B A B A AB AB B A B A AB B A B B A +=+++=+++=++=++=)()()(AC BC A B C A AC B C A C B B A ++=+?+=+ ?+?+=)()()()()(AC BC A B BC A B AC A A ++=+++=

数字逻辑设计习题第4章

第4章 组合逻辑电路 4—1 分析下图所示电路的逻辑功能,写出输出的逻辑表达式,列出真值表,说明其逻辑功能。 4—2 逻辑电路如下图所示: 1、写出S 、C 、P 、L 的函数表达式; 2、当取S 和C 作为电路的输出时,此电路的逻辑功能是什么? 4—3 下图是由三个全加器构成的电路,试写出其输出1F ,2F ,3F ,4F 的表达式。 123 B C Z

P和4—4 下图是由3线/8线译码器74LS138和与非门构成的电路,试写出 1 P的表达式,列出真值表,说明其逻辑功能。 2 Array 4—5使用74LS138 译码器及少量门电路对三台设备状态进行监控,由不同指示灯进行指示。当设备正常工作时,指示灯绿灯亮;当有一台设备出故障时,指示灯红灯亮;当有两台设备出故障时,指示灯黄灯亮;当有三台设备 出故障时,指示灯红灯和黄灯都亮。

4—6 下图4.6是由八选一数据选择器构成的电路,试写出当1G 0G 为各种不同的取值时的输出Y 的表达式。 4—7仿照全加器设计一个全减器,被减数为A ,减数为B ,低位来的借位为C ,差为D ,向上借一位为J 。 要求:1.写出真值表,写出D 与J 的表达式;2.用译码器74LS138和必要的基本门电路实现此电路;3.用双四选一数据选择器实现。 G A

4—8 设计一组合逻辑电路,输入为四位二进制码3B 2B 1B 0B ,当 3B 2B 1B 0B 是BCD8421码时输出1=Y ;否则0=Y 。列出真值表,写出 与或非表达式,用集电极开路门实现。 4—9 设计一个多功能组合数字电路,实现下表所示逻辑功能。表中1C 0C 为功能选择输入信号;A ,B 为输入变量;F 为输出。 1.列出真值表,写出F 的表达式; 2.用八选一数据选择器和门电路实现。

2011数字逻辑设计大作业题目

数字逻辑设计大作业题目 说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,不能超过3人。 题目1:电子密码锁的设计 [设计要求] (1)设计一个开锁密码至少为4位数字(或更多)的密码锁。 (2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿 灯亮、红灯灭表示开锁状态。 (3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 (4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。 注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等) 题目2:乒乓球比赛模拟机的设计 乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。 [设计要求] (1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球” 的移动速度可以调节。 (2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,

表示未击中或违规,则对方得一分。 (3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (5)能显示发球次数。 注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等) 题目3:象棋快棋赛电子裁判计时器的设计 说明:象棋快棋赛规则是,红、黑双方对奕时间累计均为三分钟,超时判负。[设计要求] (1)甲乙双方的计时器为一个秒时钟,双方均用3位数码管显示,预定的初值均为三分钟,采用倒计时方式。通过按扭启动,由本方控制对方,比如甲方走完一步棋后必须按一次甲方的按键,该按键启动乙方倒计时。同理,乙方走完一步棋后必须按一次乙方的按键,该按键启动甲方倒计时。 (2)超时能发出报警判负。 (3)累计时间设置可以改变。 注:附加功能根据本人能力自行添加 题目4:出租车计费器的设计 汽车在行驶时,里程传感器将里程数转换成与之成正比的脉冲个数,然后由计数译码电路变成收费金额。每行驶1公里,里程传感器输出一个脉冲信号,即10个脉冲/公里。 [设计要求] (1)设计制作自动计费器,金额总数包括行车里程计费、等车时间计费和起步价三部分,金额用数码管显示。 (2)里程单价设2.1元/公里,等车单价为0.6元/10分钟,起步价设为5元(参考)

数字逻辑与数字系统设计习题参考答案

数字逻辑与数字系统设计 第1章习题解答 1.3 (1)86 (2)219 (3)106.25 (4)0.6875 1.4 (1)101111 (2)1001000 (3)100001l.11 (4)0.101 1.5 (1)(117)10=(165)8=(1110101)2=(75)16 (2)(3452)10=(6574)8=(1)2=(D7C)16 (3)(23768.6875)10=(56330.54)8=(.1011)2=(5CD8.B)16 (4)(0.625)10=(0.5)8=(0.101)2=(0.A)16 1.6 (1)(117)8=(1001111)2=(79)10 (2)(7456)8=(1)2=(3886)10 (3)(23765.64)8=(10 0111 1111 0101.1101)2=(10229.8125)10 (4)(0.746)8=(0.11111)2=(0.96875)10 1.7 (1) (9A)16=()2=(154)10 (2) (3CF6)16=(111)2=(15606)10 (3) (7FFE.6)16=(.011)2=(32766.375)10 (4) (0.C4)16=(0.110001)2=(0.765625)10 1-8 (1)(125)10=(0001)8421BCD (2)(7342)10=(0)8421BCD (3)(2018.49)10=(00011000.01001001)8421BCD (4)(0.785)10=(0.0)8421BCD 1.9 (1)(106)10=(1101010)2原码=反码=补码=01101010 (2)(-98)10=(-1100010)2 原码= 反码= 补码= (3)(-123)10=(-1111011)2 原码= 反码= 补码= (4)(-0.8125)10=(-0.1101)2 原码=1.1101000 反码=1.0010111 补码=1.0011000 1.10 (1)(104)10=(1101000)2 [1101000]补=01101000 (-97)10=(-1100001)2 [-1100001]补= + 01001111 01101000 + 00000111

数字逻辑考题及答案解析

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、(20.57)8 =( 10.BC )16 2、(63.25) 10= ( 111111.01 )2 3、(FF )16= ( 255 )10 4、[X]原=1.1101,真值X= -0.1101,[X]补 = 1.0011。 5、[X]反=0.1111,[X]补= 0.1111。 6、-9/16的补码为1.0111,反码为1.0110 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。

4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分) 5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 8分

数字逻辑与数字系统设计课程大纲

“数字逻辑与数字系统设计”教学大纲 课程编号:OE2121017 课程名称:数字逻辑与数字系统设计英文名称:Digital Logic and Digital System Design 学时:60 学分:4 课程类型:必修课程性质:专业基础课 适用专业:电子信息与通信工程(大类)开课学期:4 先修课程:高等数学、大学物理、电路分析与模拟电子线路 开课院系:电工电子教学基地及相关学院 一、课程的教学任务与目标 数字逻辑与数字系统设计是重要的学科基础课。该课程与配套的“数字逻辑与数字系统设计实验”课程紧密结合,以问题驱动、案例教学、强化实践和能力培养为导向,通过课程讲授、单元实验、综合设计项目大作业、设计报告撰写、研讨讲评等环节,实现知识能力矩阵中1.1.2.2、1.2.1.2以及2.5、2.6、3.6、4.1、4.2的能力要求。 要求学生掌握数字电路的基本概念、基本原理和基本方法,了解电子设计自动化(EDA:Electronic Design Automation)技术和工具。数字电路部分要求学生掌握数制及编码、逻辑代数及逻辑函数的知识;掌握组合逻辑电路的分析与设计方法,熟悉常用的中规模组合逻辑部件的功能及其应用;掌握同步时序逻辑电路的分析和设计方法,典型的中大规模时序逻辑部件。EDA设计技术部分,需要了解现代数字系统设计的方法与过程,学习硬件描述语言,了解高密度可编程逻辑器件的基本原理及开发过程,掌握EDA 设计工具,培养学生设计较大规模的数字电路系统的能力。 本课程教学特点和主要目的: (1)本课程概念性、实践性、工程性都很强,教学中应特别注重理论联系实际和工程应用背景。 (2)使学生掌握经典的数字逻辑电路的基本概念和设计方法; (3)掌握当今EDA工具设计数字电路的方法。 (4)本课将硬件描述语言(HDL)融合到各章中,并在软件平台上进行随堂仿真, 通

数字逻辑第一次大作业

数字逻辑第一次大作业

一.“七段数码管字形发生器”真值表(支持共阴极,1亮0灭) 输入变量输出变量数码管显 示 A B C D a b c d e f g 0000 1111110 0 000 1 0110000 1 0010 110110 1 2 001 1 111100 1 3 0100 011001 1 4 010 1 101101 1 5 0110 101111 1 6 011 1 1110000 7 1000 111111 1 8 100 1 111101 1 9 1010 111011 1 A 101 1 001111 1 B 1100 1001110 C 110 1 011110 1 D 1110 100111 1 E 111 1 100011 1 F 二.卡诺图化简: A B C D a 0000 1 000 1 0 0010 1 001 1 1 0100 0 010 1 1 0110 1 011 1 1 1000 1 100 1 1 1010 1 101 1 0 1100 1 110 1 0 1110 1 AB CD 00 01 11 10 00 1 0 1 1 01 0 1 0 1 11 1 1 1 0 10 1 1 1 1 Fa=B?D?+A?BD+A B?C?+A?C+BC+A D?

111 1 1 A B C D b 0000 1 000 1 1 0010 1 001 1 1 0100 1 010 1 0 0110 0 011 1 1 1000 1 100 1 1 1010 1 101 1 0 1100 0 110 1 1 1110 0 111 1 0 A B C D c 0000 1 000 1 1 0010 0 001 1 1 0100 1 010 1 1 0110 1 011 1 1 1000 1 100 1 1 1010 1 101 1 1 1100 0 110 1 1 1110 0 111 1 0 AB CD 00 01 11 10 00 1 1 0 1 01 1 0 1 1 11 1 1 0 0 10 1 0 0 1 Fb=B?D?+B?C?+A?C?D?+A?CD+A C?D AB CD 00 01 11 10 00 1 1 0 1 01 1 1 1 1 11 1 1 0 1 10 0 1 0 1 Fc=A?C?+A?D+A?B+A B?+C?D

《数字逻辑电路》期末大作业实验报告

大连外国语大学软件学院 1数字逻辑电路概述 数字逻辑是数字电路逻辑设计的简称,其内容是应用数字电路进行数字系统逻辑设计。电子数字计算机是由具有各种逻辑功能的逻辑部件组成的,这些逻辑部件按其结构可分为组合逻辑电路和时序逻辑电路。组合逻辑电路是由与门、或门和非门等门电路组合形成的逻辑电路;时序逻辑电路是由触发器和门电路组成的具有记忆能力的逻辑电路。有了组合逻辑电路和时序逻辑电路,再进行合理的设计和安排,就可以表示和实现布尔代数的基本运算。 数字逻辑电路有易于集成、传输质量高、有运算和逻辑推理能力等优点,因此被广泛用于计算机、自动控制、通信、测量等领域。一般家电产品中,如定时器、告警器、控制器、电子钟表、电子玩具等都要用数字逻辑电路。 (阐述数字逻辑的现状、目的、意义、功能、方法及作用)2第一种数字逻辑电路 方法原理及功能 数据选择器又称为多路开关,是一种重要的组合逻辑器件,它可以实现从多路数据中选择任何一路数据输出,选择的控制由专门的端口编码决定,称为地址码,数据选择器可以完成很多的逻辑功能,例如函数发生器、桶形移位器、并串转换器、波形产生器等。 1、与非门实现二选一数据选择器: 用一种74SL153及门电路设计实现一位全加器,输入用三个单刀双掷开关分别代表A、B、C,输出用两个指示灯分别代表L1、L1。 设计过程与结果(描述方法的操作过程和结果,配截图详细介绍) 在元件库中单击TTL,再单击74LS系列,选中74LS153D。

仿真结果实际结果 L 1 亮单独打开开关A,B,C时; L1灯泡亮 L 2 亮任意打开两个开关; 灯泡L2亮

L 1 和 L 2 都 亮 同时打开开关A,B,C时; 灯泡L1,L2同时亮。 心得体会 经过许多次的失败,在不断尝试中选择一个适合的方式去解决问题,加强对电路的 理解。通过该实验可以培养我们的动手能力和对数字电路的理解。经检验,符合真值表, 达到数据选择的作用。74ls153为双四选一数据选择器,几多一个非门和或门可以组成 数据比较器。能更好的掌握相关芯片的知识,了解其用途。 失败电路一: 失败电路二:

数字逻辑设计及应用 本科3 答案82870

. . 电子科技大学网络教育考卷(C 卷) (20 年至20 学年度第 学期) 考试时间 年 月 日(120分钟) 课程 数字逻辑设计及应用(本科) 教师签名_____ 大题号 一 二 三 四 五 六 七 八 九 十 合 计 得 分 一、填空题(每空1分,共20分) 1、10111012= 135 8= 5D 16= 1110011 格雷码 2、FF 16= 255 10= 001001010101 8421BCD = 010********* 余3码 3、已知某数的反码是1010101,则该数的对应的原码是 1101010 ,补码是 1101011 ; 4、逻辑运算的三种基本运算是 与或非 ; 5、一个逻辑在正逻辑系统下,表达式为B A +,则该逻辑在负逻辑系统下,表达式为 AB ; 6、逻辑式A /(B+CD /)的反演式为 A+B /(C /+D) ; 7、已知∑= )3,1,0(),,(m C B A F ,则∑=m F / ( 2,4,5,6,7 ) M ∏=( 2,4,5,6,7 ) ; 8、请问图1-8逻辑为Y= (AB)/ ; 9、n 选1的数据选择器的地址输入的位数为 log 2n(向上取整) 位,多路输入端得个数为 n 个; 10、如果用一个JK 触发器实现D 触发器的功能,已知D 触发器的输入 信号为D ,则该JK 触发器的驱动为: J=D;K=D / ; 11、如果用一个D 触发器实现T 触发器的功能,已知T 触发器的输入信号为T ,则该D 触发器的驱动为: T ⊕Q ; 12、如果让一个JK 触发器只实现翻转功能,则该触发器的驱动为: J=K=1 ; 13、利用移位寄存器实现顺序序列信号1001110的产生,则该移位寄存器中触发器的个数为: 大于或等于3 个; 二、选择题(每题1分,共10分) 1、以下有关原码、反码和补码的描述正确的是: ①.二进制补码就是原码除符号位外取反加1; ②.补码即是就是反码的基础上再加1; ③.负数的原码、反码和补码相同; ④.正数的原码、反码和补码相同; 2、下列逻辑表达式中,与D BC C A AB F / / / 1++=不等的逻辑是: ①./ / / BC C A AB ++ ②./ ///D BC C A AB ++ ③./ /C A AB + ④.BD C A AB ++/ / 3、已知门电路的电平参数如下:,,,,V 3.0V V 0.3V V 25.0V V 2.3V L I IH OL OH ≤≥≤≥请问其低电平的噪声容限为: ①. 0.05V ②. 0.2V ③. 2.95V ④. 2.7V 4、下列逻辑中,与/ A Y =相同的逻辑是: ①.1A Y ⊕= ②.0A Y ⊕= ③.A A Y ⊕= ④./ )A A (Y ⊕= 5、有如下所示波形图,已知ABC 为输入变量,Y 为输出变量,我们可以得到该逻辑的函数式为: ①.AC AB Y += ②.C B A Y ++= ③.C B A Y ??= ④./ / / C B A Y ++= 6、在同步状态下,下面哪种时序逻辑器件的状态更新仅仅发生在时钟触发沿来临的瞬间,并且状态更新的依据也仅仅取决于当时的输入情况: ①.锁存器 ②.电平触发的触发器 ③.脉冲触发的触发器 ④.边沿触发的触发器器 7、或非门所构成的SR 触发器的输入为S 和R ,则其工作时的约束条件为: ①.1R S =+ ②.0R S =? ③.0R S / / =+ ④.R S = 8、要实现有效状态数为8的扭环计数器,则所需移位寄存器中的触发器个数为: ①.8 ②.4 ③.3 ④.2 9、下面的电路,属于组合逻辑的电路是: ①.串行数据检测器 ②.多路数据选择器 ③.顺序信号发生器 ④.脉冲序列发生器 10、下面哪些器件不能够实现串行序列发生器 ①.计数器和组合门电路 ②.数据选择器和组合门电路 ③.移位寄存器和组合门电路 ④.触发器和组合门电路 姓名__________________ 专业名称__________________ 班号________________学号__________________教学中心_________________ …………………… …… … … … … …密………………… …… … … … … ……封……………… …… … … …线… … … …… … … …………………… 图1-8 图2-5

数字逻辑设计课程设计要求及题目

一、教学目标 (一)课程性质 课程设计。 (二)课程目的 1.进一步掌握数字电路课程所学的理论知识。 2.了解数字电路设计的基本思想和方法,学会科学分析和解决问题。 3.训练学生综合运用学过的数字电路的基本知识,独立设计相对复杂的数字电路的能 力。 4.培养认真严谨的工作作风和实事求是的工作态度。 二、教学内容基本要求及学时分配 (一)课程设计题目 题目见附录,原则上每三人一组,一组一题。 题目共14个,同一班级内不能选同一题目,即各班独立在14个题目中选择。 (二)设计内容 拿到题目后首先进行电路设计。然后在微机上采用Multisim输入设计电路,进行仿真,直至满足设计要求。 (三)设计要求 (1)事先预习,提出大体的设计构思; (2)按题目要求的逻辑功能进行设计,电路各个组成部分须有设计说明; (3)仿真完成后,需把设计的电路备份以备抽查; (四)使用的软件 使用软件为Multisim9。 三、主要教学环节 (一)设计安排 1.第1天讲授设计需要的软件、设计的要求、布置设计题目; 2.第1、2天学生进行设计,由教师验收,然后学生撰写和打印设计报告。 (二)指导与答疑 每天都有教师现场答疑,学生有疑难问题可找教师答疑。学时应充分发挥主观能动性,提高个人的动手能力,不应过分依赖教师。 (三)设计的考评 设计全部完成后,须经教师验收。验收时学生要讲述自己设计电路的原理、仿真情况。 教师根据学生设计全过程的表现和验收情况给出成绩。

四、课程设计报告的内容和要求 (一)课程设计报告的内容 按附录中给出的报告模板进行编写,用A4纸打印,左侧装订。 (二)课程设计报告编写的基本要求 1、按范例的格式书写,所有的内容一律打印; 2、报告内容包括设计过程、设计的电路图,软件仿真的结果及分析等; 3、设计过程中如果需要,列出设计的真值表; 4、整体电路原理图需说明各个部分的功能,仿真波形需说明如何对应设计要求; 5、相关知识可参考《逻辑设计基础》一书。因不同班级中可能有人选择相同题目,所以不允许有完全一样的报告,对于报告完全相同者,记为不及格。

2013《数字逻辑设计》期末考试-试题及参考答案-更新

………密………封………线………以………内………答………题………无………效…… 电子科技大学2012 -2013学年第二学期期末考试 A 卷 课程名称:_数字逻辑设计及应用__ 考试形式:闭卷考试日期: 20 13 年 07 月05 日 考试时长:_120___分钟 课程成绩构成:平时 30 %,期中 30 %,实验0 %,期末 40 % 本试卷试题由___七__部分构成,共__7___页。 题号一二三四五六七八九十合计 得分 I. Fill out your answers in the blanks (3’ X 10=30’) 1. If a 74x138 binary decoder has 110 on its inputs CBA, the active LOW output Y5 should be ( 1 or high ). 2. If the next state of the unused states are marked as “don’t-cares” when designing a finite state machine, this approach is called minimal ( cost ) approach. 3.The RCO_L of 4-bit counter 74x169 is ( 0 or low) when counting to 0000 in decreasing order. 4. To design a "001010" serial sequence generator by shift registers, the shift register should need ( 4 ) bit at least. 5. One state transition equation is Q* = JQ’+K’Q. If we use T flip-flop with enable to complete the equation,the enable input of T flip-flop should have the function EN = ( JQ’+KQ ). (参见课件Flip-flops Transforming Skills.ppt) 6. A 4-bit Binary counter can have ( 16 ) normal states at most, 4-bit Johnson counter with no self-correction can have ( 8 ) normal states, 4-bit linear feedback shift-register (LFSR) counter with self-correction can have ( 16 ) normal states. 7. If we use a ROM, whose capacity is 16 × 4 bits, to construct a 4-bit binary code to gray code converter, when the address inputs are 1001, ( 1101) will be the output. 8. When the input is 10000000 of an 8 bit DAC, the corresponding output voltage is 2V. The output voltage is

电子科技大学数字逻辑设计及应用作业答案

答案+我名字 查看考卷——作业提交1 当前得分:15 分(总分:100 分),折合成百分制共 15 分。 返回 作业提交1 1. 逻辑函数 ,请问其反函数 。 () () () [参考答案:] 分值:5 得分: 分 系统自动批改于2019年9月19日 14点35分 2. 有关异或逻辑的描述不正确的是 。 () 异或逻辑的反函数是同或逻辑 () 异或逻辑的对偶逻辑是同或逻辑 () 一个逻辑变量和0异或得到的是其反函数 [参考答案:] 分值:5 得分: 分 系统自动批改于2019年9月19日 14点35分

3. 已知一个逻辑的最小项之和为F(, , )=∑m(0,4,7) ,以下哪个等式成 立。 () () () [参考答案:] 分值:5 得分:5 分 系统自动批改于2019年9月19日14点35 分 4. 下面所描述的逻辑函数表达式中,哪一种可以直接从真值表中得到,而 无需其他中间步骤。 () 标准形式 () 最简与或式 () 与非-与非形式 [参考答案:] 分值:5 得分:5 分 系统自动批改于2019年9月19日14点35 分 5. 下面有关最小项的描述正确的是。 () () () [参考答案:] 分值:5 得分:0 分 系统自动批改于2019年9月19日14点35 分 6. 下面有关逻辑函数的描述中,正确的是。

() 逻辑函数的最小项之和是唯一的 () 逻辑函数的最简与或表达式是唯一的 () 逻辑函数的与非-与非逻辑表达式是唯一的[参考答案:] 分值:5 得分:5 分 系统自动批改于2019年9月19日14点35 分 7. 请问或非逻辑的对偶关系是。 () 或非逻辑 () 与非逻辑 () 与或非逻辑 [参考答案:] 分值:5 得分:0 分 系统自动批改于2019年9月19日14点35 分 8. 逻辑函数,请问其最小项之和为。 () () () [参考答案:] 分值:5 得分:0 分 系统自动批改于2019年9月19日14点35 分 9. 逻辑函数Y(, , , )=∑m(0,2,4,6,9,13) + (1,3,5,7,11,15)的最简与 或式为() () +’’

2012《数字逻辑设计》期末考试-试题及参考答案

………密………封………线………以………内………答………题………无………效…… 电子科技大学2011 -2012学年第 二 学期期 末 考试 A 卷 课程名称:_数字逻辑设计及应用__ 考试形式: 闭卷 考试日期: 20 12 年 07 月 02 日 考试时长:_120___分钟 课程成绩构成:平时 30 %, 期中 30 %, 实验 0 %, 期末 40 % 本试卷试题由___六__部分构成,共__6___页。 题号 一 二 三 四 五 六 七 八 九 十 合计得分 I. Fill out your answers in the blanks (3’ X 10=30’) 1. The inputs of a full-adder are A =1, B=1, C in =1. Then the output C out is ( 1 ). 2. If an 74x148 priority encoder has its 0, 2, 4, and 6 inputs at the active level, the active LOW binary output is ( 001 ). 3. If an J-K flip-flop with J=1 and K=1 has a 10kHz clock input, the Q output is a ( 5k ) Hz square wave. 4. A sequential circuit whose output depends on the state and inputs is called a ( Mealy ) state machine. 5. If we observed the waveforms of output Q 1、Q 2、Q 3 of three flip-flops of a counter as the Figure 1, the modulo of the counter should be ( 6 ). Figure 1 6. State/output table for a sequential circuit is shown as Table 1. X is the input and Z n is the output. Assume that the initial state is S 0, if the input sequence is X = 01011101, the output sequence should be ( 11000100 ). 【提示:要细心】 CP Q 1 Q 2 Q 3 【提示】保险的做法是列表 Q 3 Q 2 Q 1 0 1 0 1 1 0 1 0 1 0 1 1 0 0 0 0 0 1 0 1 0 1 1 0 1 0 1

数字逻辑大作业—电子密码锁

HARBIN INSTITUTE OF TECHNOLOGY 电子密码锁电路设计 课程名称:数字逻辑 学生所在院(系):计算机学院 学生所在专业:计算机科学与技术 小组成员:于志睿1130310717 贾明达J130310701 李家兴1130310714 小组项目:电子密码锁电路设计 任课教师:张彦航 成绩: 2014 年12 月11日

目录 1、设计目的及要求 2、工作原理、系统方框图 3、各部分选定方案及电路组成、相关器件说明 4、调试过程 5、设计结论 6、设计心得与总结 7、参考文献 附录一:总体器件表及相关器件的功能表、管脚分布附录二:总体设计图 附录三:仿真结果 附录四:小组各成员所做工作

1.设计目的及要求 题目:电子密码锁的设计 [设计要求] (1)设计一个开锁密码至少为4位数字(或更多)的密码锁。 (2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿 灯亮、红灯灭表示开锁状态。 (3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 附加功能:可以设置密码,通过设置密码按钮SP(set password)来设置,且只能在初始时设置密码,设置好之后 就会锁住存储端不让重新设置。输入密码是要先按输入密码的 按钮IP(in password),然后输入密码。密码输入最多2次, 超过2次就关锁。 2.工作原理、系统方框图 按照设计的要求,输入端有10个密码输入端,一个设置密码的按钮和一个输入密码的按钮。输出端有显示密码是否正确的灯(一个黄灯闪烁表示密码设置好了,绿灯亮表示密码输入正确,红灯亮表示关锁状态)和倒计时的显示端(用七段数码管实现)。 如下图所示:

2020秋西安电子科技大学《数字逻辑电路》大作业期末试题及答案

学习中心/函授站_ 姓名学号 西安电子科技大学网络与继续教育学院 2020 学年下学期 《数字逻辑电路》期末考试试题 (综合大作业) 题号一二三四总分 题分30 10 30 30 得分 考试说明: 1、大作业试题于2020 年10 月15 日公布: (1)毕业班学生于2020 年10 月15 日至2020 年11 月1 日在线上传大作业答卷; (2)非毕业班学生于2020 年10 月22 日至2020 年11 月8 日在线上传大作业答卷; (3)上传时一张图片对应一张A4 纸答题纸,要求拍照清晰、上传完整; 2、考试必须独立完成,如发现抄袭、雷同均按零分计; 3、答案须用《西安电子科技大学网络与继续教育学院标准答题纸》手写 完成,要求字迹工整、卷面干净。 一、单项选择题(每小题2 分,共40 分) 1、下列各数中与十进制数101 不相等的数是( D )。 A.(0100 0011 0100)余3BCD B.(141)8C.(1100101)2D.(66)16 2、八进制数(35)8的8421BCD 是( B )。 A.0011 1000B.0010 1001C.0011 0101D.0010 1100 3、为使与非门输出为1 则输入( A )。 A.只要有0 即可B.必须全为0C.必须全为1D.只要有1 即可 4、函数F AC BC AB与其相等的表达式是( B )。 A.BC B.C+AB C.AC AB D.AB

5、使函数F AB AC BC 等于 0 的输入 ABC 的组合是( C )。 A .ABC=111 B .ABC=110 C .ABC=100 D .ABC=011 6、四变量的最小项ABCD 的逻辑相邻项是( A )。 A .ABCD B .ABCD C .ABC D D .ABCD 7、函数F ABC B .C (A D )BC 的对偶式是( C )。 A . G (A B C )(B C )(AD B C ) B .G A B C (B C )AD B C C .G A B C (B C )(A D B C ) D .G A B C (B C )A D B C 8、F A B C ADE BDE ABC 的最简式为( A )。 A .1 B .ABC DE C .ABC D .A+BDE+CDE 9、 函数F AC BC AB 的最简与非式为( D )。 10、 函数F A B C A .B .D AC ACD AB .D 的最简与或式为 ( D )。 A .F BC AD B .F B C AD C .F AB AC BD D .F AB AC BD 11、 函数F (ABCD ) (0,1,3,4,5,7,12),约束条件为BCD ABD 0 ,其 最简与或非式是( C )。 A .F A .C AD BD B .F CD AB AD A . B . C . D . B A B A C B C B B A . C A B A . . A B C A

数字逻辑设计及应用论文

数字逻辑设计及应用论文 新学期伊始,我们也接触到了一门全新的课程——数字逻辑设计及应用。据了解,他是计算机专业和电子信息类专业的一门重要硬件基础课,其理论性和实践性很强,尤其强调工程应用。数字电路又是电子技术计算机硬件电路、通信电路、信息与自动化技术的基础,系统介绍了数字电路逻辑设计的基本知识、基本理论、基本器件和基本方法,详细介绍了各种逻辑电路的分析、设计与实现的全过程。 通过查询有关资料,我了解到数字电路是以二值数字逻辑为基础的,其工作信号是离散的数字信号。电路中的电子晶体管工作于开关状态,时而导通,时而截止。数字电路的发展与模拟电路一样经历了由电子管、半导体分立器件到集成电路等几个时代。但其发展比模拟电路发展的更快。从60年代开始,数字集成器件以双极型工艺制成了小规模逻辑器件。随后发展到中规模逻辑器件;70年代末,微处理器的出现,使数字集成电路的性能产生质的飞跃。数字集成器件所用的材料以硅材料为主,在高速电路中,也使用化合物半导体材料,例如砷化镓等。逻辑门是数字电路中一种重要的逻辑单元电路。TTL逻辑门电路问世较早,其工艺经过不断改进,至今仍为主要的基本逻辑器件之一。随着CMOS工艺的发展,TTL的主导地位受到了动摇,有被CMOS 器件所取代的趋势。近年来,可编程逻辑器件PLD特别是现场可编程门阵列FPGA的飞速进步,使数字电子技术开创了新局面,

不仅规模大,而且将硬件与软件相结合,使器件的功能更加完善,使用更灵活。 在这门课的学习过程中,我认为原理与实践环节两手都要抓,两手都要硬。原理能帮助在学习这本书的过程中不仅知其然,更是知其所以然,原理主要是所选用电子器件的结构与作用及开关代数基本定理,有了坚实的理论基础,一旦在本课程的尖端方面有了一些更新的,更广阔的应用途径,我们也能运用基本原理与分析方法掌握更新的技术。另外一方面,由于数字电路的发展依赖于硬件(集成电路的发展),也就是所选用的电子元件,因此,对于这方面结构和应用的了解也尤为关键。实践,更是尤为关键。原理如果无法很好的应用于实践,那就相当于什么都不是,因此,在学习这门课程中,显然应该把实践摆在一个相当重要的位置。充分利用数电实验课与课程设计的机会但是,掌握了一种硬件描述语言,体会基本器件与硬件描述语言之间的深层联系,在数电实验课上我们要有积极性不仅要优秀完成老师要求的实验任务,更要去思考改进方法。并认真学习设计性试验并利用课余时间去实践它即使没有实验条件也可以通过仿真软件去实现设计新实验增加自己的动手能力。我相信积累充足的工程经验会让我们受益终生。 在当今的世界上,很少有一种技术能像电子对数字电路发展的认识与看法:技术那样渗透到人类社会生活的一切领域,并且在许多方面改变着我们的生活。无论是当前信息技术的蓬

相关文档
相关文档 最新文档