文档库 最新最全的文档下载
当前位置:文档库 › 论文终稿完成

论文终稿完成

论文终稿完成
论文终稿完成

毕业设计(论文)

题目基于FPGA的多功能电子钟的

设计

系(院)物理与电子科学系专业应用电子技术班级2009级2班

学生姓名陈振斌孙耀武李万秀姜华刚韩良斌

学号2009021878 2009021908 2009021892 2009021904 2009021893

指导教师王树斌职称讲师

二〇一二年六月十日

独创声明

本人郑重声明:所呈交的毕业设计(论文),是本人在指导老师的指导下,独立进行研究工作所取得的成果,成果不存在知识产权争议。尽我所知,除文中已经注明引用的内容外,本设计(论文)不含任何其他个人或集体已经发表或撰写过的作品成果。对本文的研究做出重要贡献的个人和集体均已在文中以明确方式标明。

本声明的法律后果由本人承担。

作者签名:

二〇一二年六月十日

毕业设计(论文)使用授权声明

本人完全了解滨州学院关于收集、保存、使用毕业设计(论文)的规定。

本人愿意按照学校要求提交学位论文的印刷本和电子版,同意学校保存学位论文的印刷本和电子版,或采用影印、数字化或其它复制手段保存设计(论文);同意学校在不以营利为目的的前提下,建立目录检索与阅览服务系统,公布设计(论文)的部分或全部内容,允许他人依法合理使用。

(保密论文在解密后遵守此规定)

作者签名:

二〇一二年六月十日

基于FPGA的多功能电子钟的设计

摘要

本文介绍了多功能电子钟的现状及发展动态,多功能电子钟的应用,多功能电子钟的基本原理和实现方法以及系统构建理论。针对现行电子钟设计方案实现起来相对复杂、误差偏大等弊端,对以FPGA为核心器件的电子钟方案进行了实验研究,利用EDA技术自顶向下的设计方法,设计电子钟各模块及相应具体电路,通过编译、仿真并下载到FPGA实验平台进行测试,运行结果表明系统能以较小的误差显示时、分、秒,并且当走时不准时,可以通过相应设置键实现校时。

关键词:多功能电子钟;EDA;FPGA

Based on the design of the multi-function electric clock

FPGA

Abstract

This paper introduces the present situation and development of multi-function electric clock dynamic, multi-function electric clock application, multi-function electric clock with the basic principle and method and system building theory. According to the existing electric clock design implementation up relatively complicated, error slants big disadvantage, the FPGA for the core device the electric clock scheme in the experimental research, using EDA technology the top-down design method, design the electric clock and the corresponding specific circuit module, through the compilation, simulation, and download to FPGA experimental platform to test, operation results show that the system can with a small error shows, minutes and seconds, and when walking is incorrect, can through the corresponding setting key realization school.

Key words: multi-function electric clock; EDA; FPGA

目录

引言 (1)

第一章FPGA简介 (3)

1.1 FPGA概述 (3)

1.2 FPGA基本结构 (3)

1.3 FPGA系统设计流程 (5)

第二章可编程逻辑器件概述及设计方案 (6)

2.1 CPLD/FPGA概述及VHDL语言的特点 (6)

2.2可编程逻辑器件的分类和发展历程 (8)

2.3 EPF10K10LC84-4芯片简介 (10)

2.4电子时钟的设计方案 (10)

第三章系统电路设计 (12)

3.1总体设计 (12)

3.2显示电路设计 (13)

3.2.1分频器电路 (15)

3.2.2扫描电路电路 (16)

3.2.3 BCD码多路选择器 (17)

3.2.4 BCD译码器 (17)

3.2.5位选码电路 (17)

3.3电子时钟计数器电路设计 (17)

3.3.1秒和分计数器设计 (17)

3.3.2小时计数器设计 (19)

总结 (23)

参考文献 (25)

谢辞 (26)

附录 (27)

引言

时钟,自从它发明的那天起,就成为人类的朋友,但随着时间的推移,科学技术的不断发展,人们对时间计量的精度要求越来越高,应用越来越广。现今,高精度的计时工具大多数都使用了石英晶体振荡器,由于电子钟,石英表,石英钟都采用了石英技术,因此走时精度高,稳定性好,使用方便,不需要经常调校,数字式电子钟用集成电路计时时,译码代替机械式传动,用LED显示器代替显示器代替指针显示进而显示时间,减小了计时误差,这种表具有时,分,秒显示时间的功能,还可以进行时和分的校对,片选的灵活性好。

20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能更进一步,产品更新换代的节奏也越来越快。

现代电子设计技术的核心是EDA ( Electronic Design Automation ) 技术。EDA 技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL (Hardware Description Language)为系统逻辑描述手段完成的设计文件。20世纪80年代末,出现了FPGA(Field Programmable Gate Array),CAE和CAD技术的应用更为广泛,它们在PCB设计的原理图输入,自动布局布线及PCB分析,以及逻辑设计、逻辑仿真、布尔综合和化简等方面担任了重要的角色,为电子设计自动化必须解决的电路建模、标准文档及仿真测试奠定了基础。硬件描述语言是EDA技术的重要组成部分,VHDL是作为电子设计主流硬件的描述语言。本论文就是应用VHDL 语言来实现秒表的电路设计。VHDL语言是标准硬件描述语言,它的特点就是能形式化抽象表示电路结构及行为,支持逻辑设计中层次领域的描述,借用了高级语言的精巧结构简化电路描述,具有电路模拟与验证及保证设计的正确性,支持电路由高层向低层的综合变换,便于文档管理,易于理解和设计重用。

本课题选用了Altera公司的FPGA产品并以其专门开发软件为平台,运用VHDL 硬件描述语言设计一个电子时钟。CPLD/FPGA以高集成度、高速度和高可靠性而著称,运用FPGA进行产品开发,其开发周期短,投资风险小,产品上市速度快,决定其有着无比的市场前景,是现代EDA技术中广泛运用的硬件。

该系统通过VHDL语言和原理图混合应用的方式来实现电子时钟的设计,并下

载到硬件之中进行验证。我们将电子时钟的设计分成了四大模块,分别是时间计数器模块;键盘控制模块;显示电路模块和时间调整模块。

本次设计主要让我们掌握CPLD/FPGA的研发过程,掌握VHDL语言的编程思想及过程,以及电子时钟基本功能和实现的基本原理。

第一章FPGA简介

1.1 FPGA概述

FPGA是现场可编程门阵列(Field Programmable Gate Array)的简称,与之相应的CPLD是复杂可编程逻辑器件(Complex Programmable Logic Device)的简称,两者的功能基本相同,只是实现原理略有不同,有时可以忽略这两者的区别,统称为可编程逻辑器件或CPLD/PGFA。CPLD/PGFA几乎能完成任何数字器件的功能,上至高性能CPU,下至简单的74电路。它如同一张白纸或是一堆积木,工程师可以通过传统的原理图输入或硬件描述语言自由的设计一个数字系统。通过软件仿真可以事先验证设计的正确性,在PCB完成以后,利用CPLD/FPGA的在线修改功能,随时修改设计而不必改动硬件电路。使用CPLD/FPGA开发数字电路,可以大大缩短设计时间,减少PCB面积,提高系统的可靠性。这些优点使得CPLD/FPGA技术在20世纪90年代以后得到飞速的发展,同时也大大推动了EDA软件和硬件描述语言HDL的进步[1] 。

1.2 FPGA基本结构

FPGA具有掩膜可编程门阵列的通用结构,它由逻辑功能块排成阵列,并由可编程的互连资源连接这些逻辑功能块来实现不同的设计。

FPGA一般由3种可编程电路和一个用于存放编程数据的静态存储器SRAM组成。这3种可编程电路是:可编程逻辑模块(CLB—Configurable Logic Block)、输入/输出模块(IOB—I/O Block)和互连资源(IR—Interconnect Resource)。可编程逻辑模块CLB是实现逻辑功能的基本单元,它们通常规则的排列成一个阵列,散布于整个芯片;可编程输入/输出模块(IOB)主要完成芯片上的逻辑与外部封装脚的接口,它通常排列在芯片的四周;可编程互连资源包括各种长度的连接线段和一些可编程连接开关,它们将各个CLB之间或CLB、IOB之间以及IOB之间连接起来,构成特定功能的电路。

(1)CLB是FPGA的主要组成部分。图2.1是CLB基本结构框图,它主要由逻辑函数发生器、触发器、数据选择器等电路组成。CLB中3个逻辑函数发生器分别是G、F和H,相应的输出是G’、F’和H’。G有4个输入变量G1、G2、G3和G4;F

也有4个输入变量F1、F2、F3和F4。这两个函数发生器是完全独立的,均可以实现4输入变量的任意组合逻辑函数。逻辑函数发生器H有3个输入信号;前两个是函数发生器的输出G’和F’,而另一个输入信号是来自信号变换电路的输出H1。这个函数发生器能实现3输入变量的各种组合函数。这3个函数发生器结合起来,可实现多达9变量的逻辑函数。

CLB中有许多不同规格的数据选择器(四选一、二选一等),通过对CLB内部数据选择器的编程,逻辑函数发生器G、F和H的输出可以连接到CLB输出端X 或Y,并用来选择触发器的激励输入信号、时钟有效边沿、时钟使能信号以及输出信号。这些数据选择器的地址控制信号均由编程信息提供,从而实现所需的电路结构。

CLB中的逻辑函数发生器F和G均为查找表结构,其工作原理类似于ROM。F 和G的输入等效于ROM的地址码,通过查找ROM中的地址表可以得到相应的组合逻辑函数输出。另外,逻辑函数发生器F和G还可以作为器件内高速RAM或小的可读写存储器使用,它由信号变换电路控制。

(2)输入/输出模块IOB。IOB提供了器件引脚和内部逻辑阵列之间的连接。它主要由输入触发器、输入缓冲器和输出触发/锁存器、输出缓冲器组成[2]。

每个IOB控制一个引脚,它们可被配置为输入、输出或双向I/O功能。当IOB 控制的引脚被定义为输入时,通过该引脚的输入信号先送入输入缓冲器。缓冲器的输出分成两路:一路可以直接送到MUX,另一路延时几个纳秒(或者没有延时)后送到输入通路D触发器,再送到数据选择器。通过编程给数据选择器不同的控制信息,确定送至CLB阵列的I1和I2是来自输入缓冲器,还是来自触发器。

当IOB控制的引脚被定义为输出时,CLB阵列的输出信号OUT也可以有两条传输途径:一条是直接经MUX送至输出缓冲器,另一条是先存入输出通路D触发器,再送至输出缓冲器。

IOB输出端配有两只MOS管,它们的栅极均可编程,使MOS管导通或截止,分别经上拉电阻接通VCC、地线或者不接通,用以改善输出波形和负载能力。

(3)可编程互连资源IR。可编程互连资源IR可以将FPGA内部的CLB和CLB 之间、CLB和IOB之间连接起来,构成各种具有复杂功能的系统。IR主要由许多金属线段构成,这些金属线段带有可编程开关,通过自动布线实现各种电路的连接。

1.3 FPGA系统设计流程

流程说明:

(1)工程师按照“自顶向下”的设计方法进行系统划分。

(2)输入VHDL代码,这是设计中最为普遍的输入方式。此外,还可以采用图形输入方式,这种输入方式具有直观、容易理解的优点。

(3)将以上的设计输入编译成标准的VHDL文件。

(4)进行代码级的功能仿真,主要是检验系统功能设计的正确性。这一步骤适用于大型设计,因为对于大型设计来说,在综合前对源代码仿真,就可以大大减少设计重复的次数和时间。一般情况下,这一仿真步骤可略去。

(5)利用综合器对VHDL源代码进行综合优化处理,生成门级描述的网络表文件,这是将高层次描述转化为硬件电路的关键步骤。综合优化是针对ASIC芯片供应商的某一产品系列进行的,所以综合的过程要在相应的厂家综合库的支持下才能完成。

(6)利用产生的网络表文件进行适配前的时序仿真,仿真过程不涉及具体器件的硬件特性,是较为粗略的。一般的设计,也可略去这一步骤。

(7)利用适配器将综合后的网络表文件针对某一具体的目标器件进行逻辑映射操作,包括底层器件配置、逻辑分割、逻辑优化和布局布线。

(8)在适配完成后,产生多项设计结果:a.适配报告,包括芯片内部资源利用情况,设计的布尔方程描述情况等;b.适配后的仿真模型;c.器件编程文件。根据适配后的仿真模型,可以进行适配后时序仿真,因为已经得到器件的实际硬件特性(如时延特性),所以仿真结果能比较精确的预期未来芯片的实际性能。如果仿真结果达不到设计要求,就修改VHDL源代码或选择不同速度和品质的器件,直至满足设计要求。

最后将适配器产生的器件编程文件通过编程器或下载电缆载入到目标芯片CPLD/FPGA中。

第二章可编程逻辑器件概述及设计方案

可编程逻辑器件PLD(Programmable Logic Device)是20世纪70年代发展起来的一种新的集成器件。PLD是大规模集成电路技术发展的产物,是一种半定制的集成电路,结合计算机的软件技术(EDA技术)可以快速、方便地构建数字系统。

2.1 CPLD/FPGA概述及VHDL语言的特点

1、CPLD/FPGA概述

不论是简单的还是复杂的数字系统都是由基本门来构成的,如与门、或门、非门、传输门等。

人们发现,不是所有的基本门都是必须的,如用与非门单一基本门就可以构成其他的基本门。任何的组合逻辑函数都可以化为“与—或”表达式。即任何的组合电路(需要提供输入信号的非信号),可以用“与门—或门”二级电路实现。同样,任何时序电路都可由组合电路加上存储元件,即锁存器、触发器、RAM构成的。由此人们提出了一种可编程电路结构,即乘积项逻辑阵列结构。

当然,“与或”结构组成的PLD器件的功能比较简单。此后,人们又从ROM工作原理、地址信号与输出数据间的关系以及ASIC 的门阵列法中获得启发,构造另外一种可编程的逻辑结构,那就是SRAM查表的方式,并使用多个查找表构成了一个查表阵列,称为可编程门阵列(Programmable Gate Array)。

可编程逻辑器件的两种主要类型是现场可编程门阵列(FPGA)和复杂可编程逻辑器件(CPLD)。在这两类可编程逻辑器件中,FPGA提供了最高的逻辑密度、最丰富的特性和最高的性能。现在最新的FPGA器件,如Xilinx Virtex?系列中的部分器件,可提供八百万"系统门"(相对逻辑密度)。这些先进的器件还提供诸如内建的硬连线处理器(如IBM Power PC)、大容量存储器、时钟管理系统等特性,并支持多种最新的超快速器件至器件(device-to-device)信号技术。FPGA被应用于范围广泛的应用中,从数据处理和存储,以及到仪器仪表、电信和数字信号处理等与此相比,CPLD提供的逻辑资源少得多,最高约1万门。但是,CPLD提供了非常好的可预测性,因此对于关键的控制应用非常理想。而且如Xilinx CoolRunner?系列CPLD器件需要的功耗极低,并且价格低廉,从而使其对于成本

敏感的、电池供电的便携式应用(如移动电话和数字手持助理)非常理想。

FPGA基于SRAM的架构,集成度高,以LE(包括查找表、触发器及其他)为基本单元,有内嵌Memory、DSP等。具有易挥发性,需要有上电加载过程。在实现复杂算法、队列调度、数据处理、高性能设计、大容量缓存设计等领域中有广泛应用,如Altera Stratix系列。

CPLD基于EEPROM工艺,集成度低,以MicroCell(包括组合部分与寄存器)为基本单元。具有非挥发特性,可以重复写入。在粘合逻辑、地址译码、简单控制、FPGA加载等设计中有广泛应用,如Altera MAX3000A系列[3]。

详细比较:尽管FPGA和CPLD有很多共同特点,但由于CPLD和FPGA结构上的差异,具有各自的特点:

(1)CPLD更适合完成各种算法和组合逻辑,FP GA更适合于完成时序逻辑。换句话说,FPGA更适合于触发器丰富的结构,而CPLD更适合于触发器有限而乘积项丰富的结构。

(2)CPLD的连续式布线结构决定了它的时序延迟是均匀的和可预测的,而FPGA的分段式布线结构决定了其延迟的不可预测性。

(3)在编程上FPGA比CPLD具有更大的灵活性。CPLD通过修改具有固定内连电路的逻辑功能来编程,FPGA主要通过改变内部连线的布线来编程;FP GA可在逻辑门下编程,而CPLD是在逻辑块下编程。

(4)FPGA的集成度比CPLD高,具有更复杂的布线结构和逻辑实现。

(5)CPLD比FPGA使用起来更方便。CPLD的编程采用EPROM或FASTFLASH 技术,无需外部存储器芯片,使用简单。而FPGA的编程信息需存放在外部存储器上,使用方法复杂。

(6)CPLD的速度比FPGA快,并且具有较大的时间可预测性。这是由于FPGA 是门级编程,并且CLB之间采用分布式互联,而CPLD是逻辑块级编程,并且其逻辑块之间的互联是集总式的。

(7)在编程方式上,CPLD主要是基于EPROM或FLASH存储器编程,编程次数可达1万次,优点是系统断电时编程信息也不丢失。CPLD又可分为在编程器上编程和在系统编程两类。FPGA大部分是基于SRAM编程,编程信息在系统断电时丢失,每次上电时,需从器件外部将编程数据重新写入SRAM中。其优点是可以编程

任意次,可在工作中快速编程,从而实现板级和系统级的动态配置。

(8)CPLD保密性好,FPGA保密性差。

(9)一般情况下,CPLD的功耗要比FPGA大,且集成度越高越明显[4]。

2、VHDL语言的特点

(1)与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。

(2)VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。

(3)VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效,

高速的完成必须有多人甚至多个代发组共同并行工作才能实现。

(4)对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。

(5)VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计[5]。

2.2可编程逻辑器件的分类和发展历程

可编程逻辑器件的分类很多,几乎每个大的可编程逻辑器件供应商都能提供具有自身结构特点的PLD器件。由于历史的原因,可编程器件的命名各异,在介绍可编程逻辑器件之前,有必要介绍几种PLD的分类方法。较常见的是按集成度来分区分不同的PLD器件,一般可分为两大类器件:一类是芯片集成度较低的。另一类芯片集成度较高的。前面已经提到,常用的可编程逻辑器件都是从“与—或阵列”和“门阵列”两类基本结构发展起来的,所以可编程器件从结构上分为两大类器件:(1)查找表结构器件。构成可编程门,再构成阵列形式。FPGA是属于此类器件。

(2)乘积项结构器件。其基本结构为“与—或阵列”的器件,大部分简单PLD 和CPLD都属于这个范畴。

第三种分类方法是从编程工艺上划分:

熔丝(Fuse)型器件。早期的PROM器件就是采用熔丝结构的,编程过程就是根据设计的熔丝图文件来烧断对应的熔丝达到编程目的。

(3)反熔丝型器件。是对熔丝技术的改进,在编程处通过击穿漏层使得两点之间导通。与熔丝烧断获得开路正好相反。某些FPGA采用此种编程方式,如Actel 公司的FPGA器件。无论是熔丝还是反熔丝结构,都只能编程一次,因而又合称为OTP器件,即一次性可编程(One Time Programmable)器件。

(4)EPROM型。称为紫外线擦除点可编程逻辑器件。是用较高的编程电压进行编程,当需要再次编程时,用紫外线进行擦除。与熔丝、反熔丝型不同,可多次编程。

有时为降低生产成本,在制造EPROM型器件时不加用于紫外线擦除的石英窗口,于是就不能用紫外线擦除,而只能编程一次,也被称为OTP器件。

很早以前人们就曾设想设计一种逻辑可再编程的器件,不过由于受到当时集成电路工艺技术的限制,一直未能如愿。直到20世纪后期,集成技术有了飞速的发展,可编程逻辑器件才得以实现。

历史上,可编程逻辑器件经历了从PROM(Programmable Read Only Memory ),PLA(Programmable Logic Array),PAL(Programmable Array Logic )可重复编程GAL(Generic Array Logic)到采用大规模集成技术的EPLD到CPLD和FPGA 的发展过程。在结构、工艺、集成度、功能、速度和灵活性方面都有很大的改进和提高。

可编程逻辑器件大致的演变过程如下:

(1)20世纪70年代熔丝编程的PROM和PLA器件是最早的可编程的逻辑器件。

(2)20世纪70年代末,对PLA进行了改进,AMD公司推出PAL器件。

(3)20世纪80年代初,Lattice公司发明点可擦写的比PAL使用灵活的GAL 器件。

(4)20世纪80年代中期,Xilinx公司提出现场可编程概念,同时产生了世界上第一片FPGA器件。同一时期,Altera公司推出EPLD器件,较GAL器件有更高的集成度,可以用紫外线或点擦除。

(5)20世纪80年代末,Lattice公司又提出在系统可编程技术,并且推出了一

系列具备在系统可编程能力的CPLD器件,将可编程逻辑器件的性能和应用技术推向一个全新的高度。

进入20世纪90年代后,可编程逻辑集成电路技术进入飞速发展时期。器件的可用逻辑门数超过了百万门,并出现了内嵌复杂功能模块(如加法器、乘法器、RAM、CPU核、DSP核、PLL等)的SOPC(System on programmable chip)。

2.3 EPF10K10LC84-4芯片简介[6]

本设计采用EPF10K10LC84-4芯片,下面将对其进行简单介绍。

Altera公司的FPGA器件Flex10K EPF10K10LC84-4,FLEX(灵活逻辑单元矩阵)系列是Altera应用非常广泛的产品,这些器件具有比较高的集成度及丰富的寄存器资源,采用了快速,可预测延时的连续式布线结构,是一种将CPLD和FPGA的优点结合于一体的器件。

EPF10K10LC84-4是84pinPLCC封装,另外还有其它类型的管脚和封装,有很强的选择性。具有以下主要特点:

(1)1万个等效逻辑门,含有572个逻辑单元(LEs)、72个逻辑阵列块(LABs)、3个嵌入式阵列块(EAB s),并具有720个片内寄存器,可以在不占用内部资源的条件下实现6144 bit的片内存储器;

(2)内部模块间采用高速、延时可预测的快速通道连接,最高工作频率可以达到150 MHz以上;

(3)逻辑单元间具有高速、高扇出的级联链和快速进位链;

(4)片内还有三态网络和6个全局时钟、4个全局清零信号以及丰富的I/O资源;

(5)每个I/O引脚可以选择为三态控制或集电极开路输出,可以通过编程控制每个I/O引脚的速度以及I/O寄存器的使用。

2.4 电子时钟的设计方案

数字电子钟的设计方法有多种,例如,可用中小规模集成电路组成电子钟;也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟;还可以利用单片机来实现电子钟等等

方案一:运用单片机内部的定时/计数器来实现电子时钟的方法,该方案设计由单片机AT89S51芯片和LED数码管为核心,辅以必要的电路,构成了一个单片机电子时钟。

时钟的基本显示原理:时钟开始显示为0时0分0秒,也就是数码管显示000000,然后每秒秒位加1 ,到9后,10秒位加1,秒位回0。10秒位到5后,即59秒,分钟加1,10秒位回0。依次类推,时钟最大的显示值为23小时59分59秒。这里只要确定了1秒的定时时间,其它位均以此为基准往上累加。

方案二:采用专用的时钟芯片实现,通过单片机读取时钟芯片的计时时间,在数码管上显示出来,就可以实现电子时钟功能,典型的时钟芯片有:DS1302,

DS12887,X1203,PCF8583等都可以实现电子时钟功能。

方案三:采用FPGA来实现电子时钟功能,运用VHDL语言来描述电子时钟的各个功能模块。将电子时钟分为六十进制计数器和二十四进制计数器两个基本的功能模块,然后将两个六十进制计数器和一个二十四进制计数器相级联,就构成一个具有时、分、秒的电子时钟。

比较方案一、方案二和方案三:方案一是用软件实现,即用单片机内部的可编程定时/计数器来实现,但误差很大,主要用在对时间精度要求不高的场合;方案二是用专门的时钟芯片实现,在对时间精度要求很高的情况下,通常采用这种方法,但该方案还具备日历功能,造成功能上的浪费;方案三是采用FPGA实现,运用VHDL语言描述,设计方法简单,而且运用有源晶振作为系统的时钟源,通过分频得到1HZ的信号,计时精度很高,不低于方案二的计时精度,而且运用VHDL语言来描述电子时钟是完全的硬件实现。

通过以上比较,系统中采用FPGA来实现电子时钟功能[7]。

第三章系统电路设计

3.1 总体设计

设计一个显示时(2位)、分(2位)、秒(2位)共六位的多功能电子钟,它的主要功能是进行准确的计时。利用VHDL语言对硬件进行描述,通过下载到FPGA 之中进行硬件验证。系统采用4MHz的石英晶体振荡器作为时钟源,经过分频之后得到1HZ的秒钟信号,秒计满60即得1分钟,分计满60便得1小时的信号,小时计满24即得一天,电子时钟的外观图如图3.1所示。其中有六个按键用于调整时间,复位等功能。具体功能如下:

图3.1电子钟的外观图

OK键:开始计时。

SET键:与调时、调分、调秒键配合,可以调整到指定的时间。

RESET键:清零。

电子时钟硬件总体框图如图3.2所示。

图3.2 电子时钟硬件总体框图

在每个功能模块分项设计和组合前,先简单介绍一下每个方块的功能作用[8]。

(1)分频器通过分频将4MHz的信号分频为1Hz的秒信号和100Hz的同步扫描时钟信号。

(2)1Hz的秒信号输入到秒计数电路,当计数器溢出时,向分计数器进位,当分计数器溢出时,向时计数器进位。

(3)BCD译码电路是将计数器的十六进制计数值转换为数码管显示所需要的段码。

(4)位码电路是用来选通某一位数码管,使其显示数字。

(5)扫描同步电路作用控制同一个数码管的段码和位码同步,同时对多个数码管轮流扫描。

(6)键盘控制电路作用是启动电子时钟计时,设定时间等。

3.2 显示电路设计

显示电路有LCD和LED显示电路,系统中选用LED显示电路,LED器件是一种发光二极管显示器。

其特点如下:

(1)LED显示器具备稳定、高速、简单的系统;

(2)LED显示结构简单、性能稳定;

(3)LED显示应用在成熟的生产技术上。

发光二极管组成的显示器是单片机应用产品中最常用的廉价输出设备。八段发光二极管结构如图3.3所示。

图3.3八段发光二极管外型

发光二极管的阳极连在一起的称为共阳极显示器,阴极连在一起的称为共阴极显示器。1位显示器有8个发光二极管组成,其中7个发光二极管a~g控制7个笔段的亮或暗,另一个控制一个小数点的亮和暗,这种笔画式的八段显示器能显示的字符少。字符的形象有些失真,但控制方便,使用简单[9]。

图3.4 共阴极数码管

图3.5 共阳极数码管

显示器的显示方法有静态和动态两种方法。显示器位数较少时,采用静态显示的方法是合适的。当位数较多时,用静态显示所需的I/O太多,一般采用动态显示方法,所以在系统中我们采用动态显示。

此类数码管的工作特点是:

(1)数码管片选端清0时,对应位的数码管才有可能亮;

(2)每次只能有一个片选端清0,即只能动态移位显示相应的数据;

(3)控制器通过控制数码管显示相应数字要用查表子程序来实现。

系统中显示电路是由分频电路、扫描电路、BCD码多路选择器、位选码电路和BCD译码器构成的。

数码管动态扫描电路如图3.6所示,其中FPQ为分频器,通过分频得到扫描时钟信号,时钟信号为100Hz;SCAN为扫描电路,它是由状态机组成的,循环扫描数码管,使得数码管稳定的显示数据;BCDYMQ为BCD译码器,作用是将计数器输出的十六进制数转换为数码管显示所需要的段码;BIT为位码,作用是轮流选择数码管的位。

图3.6 数码管动态扫描电路

3.2.1 分频器电路

扫描电路所需要的扫描时钟信号为100Hz,是通过分频器将系统的4MHz时钟信号经过10000分频之后,再通过四分频得到的。系统中采用四个十进制计数器相级联实现10000分频,然后通过两个T触发器实现四分频得到100Hz信号。十进制计数器的仿真图如图3.7所示。十进制计数器的VHDL语言描述见附录程序1[10]

论文答辩演讲稿简述

论文答辩演讲稿简述 上午好! 我是08级二班的xxx。我的论文题目是“浅谈高校学习型学生党支部建设”。我的这篇论文是在朱老师的悉心指导下完成的,感谢朱老师,也感谢各位老师在答辩过程中对我论文的指导。我之所以选择这个题目,是我在平时的学习中了解到关于学习型党组织建设是最近几年来的热点话题,我也较为感兴趣,同时结合我个人在学生党支部的一些学生工作。下面我将这篇论文选题的背景和主要内容向各位老师作一一汇报,恳请各位老师批评指正。 首先,我想谈谈论文选题的背景与意义。 随着经济全球化不断推进,当今社会已步入知识经济时代,无论是个人、企业、还是社会,只有不断学习,才能适应不断变化的新形势。加强学习是党组织建设的永恒话题,党的十六届四中会强调“努力建设学习型政党”。党的十七届四中全会决定,把建设马克思主义学习型政党作为重大而紧迫的战略任务抓紧抓好。建设马克思主义学习型政党是全党的任务,也是高校党组织面临的任务。高校学生党支部是中国共产党在高校的最基层组织,肩负着为中国共产党和国家培养合格的建设者和接班人的历史重任。因此,加强和改进大学生党支部建设,创建学习型学生党支部,对于充分发挥党的政治优势和组织优势,不断提升学生党员的综合素质,增强学生党支部的创造力、战斗力和凝聚力,具有重要的现实意义。 其次,我想谈谈这篇论文的结构和主要内容。 本文主要分为六个大的部分。

第一部分主要是简单讲述了建设高校学习型学生党支部的背景。 第二部分主要介绍高校学习型学生党支部的内涵和特征。 第三部分进一步分析了高校学习型学生党支部建设的必要性。 第四部分主要概述了高校学习型学生党支部建设存在的问题,通过对问题的分析引出第五部分高校学习型学生党支部建设的主要途径,遵循了发现问题、分析问题和解决问题的逻辑线索。 第五部分主要概述了高校学习型学生党支部建设的主要途径,一共有五点。 第六部分结语,对文章进行小结,指出高校学习型学生党支部建设是一个系统工程,需要各方面的共同努力。 再次,我谈一下论文的研究方法研究方法:通过查阅相关文献资料,了解高校学习型学生党支部的内涵和特征,以及高校学习型学生党支部建设的必要性,分析高校学习型学生党支部建设中存在的问题,最后通过经验总结法,提出高校学习型学生党支部建设的主要途径。 最后,我想谈谈这篇论文的创新和存在的不足。 本篇论文的创新之处在于结合了重庆市“抓党建、促三风、建三高”活动的背景,通过创建高效学习型学生党支部,从而带动高效良好学风和校风的形成。 特别是在文章第五部分,在学习平台建设方面,结合了“三下乡”等社会实践和社团建设。在丰富学习内容时把近几年学生较为关注的考研、就业等方面纳入了高校学习型学生党支部建设的学习内容。

中小型园区网的设计与实现-毕业论文-终稿

目录 第一章企业网建设综述 (4) 1.1 项目建设目标 (4) 1.2 项目建设原则 (4) 1.3 基本建设描述 (5) 第二章需求分析 (5) 2.1 企业背景 (5) 2.2 网络规划 (6) 2.3 计算机网络综合布线 (7) 2.4 网络拓扑结构 (7) 2.4.1网络拓扑结构示意图 (7) 2.4.2网络中心组建 (8) 2.4.3生产车间网络组建 (9) 2.4.4企业各部门IP分配表 (9) 第三章网络设备的配置和管理 (11) 3.1 划分子网 (12) 3.2 划分VLAN的方法 (13) 3.2.1什么是VLAN (13)

3.2.2 VLAN划分的几点好处 (14) 3.2.3设置VLAN的常用方法 (14) 3.3 端口配置及划分VLAN (15) 3.3.1汇聚层交换机FLOOR1_HJ连接及配置说明 (15) 3.3.2汇聚层交换机FLOOR2_HJ连接及配置说明 (17) 3.3.3汇聚层交换机FLOOR3_HJ连接及配置说明 (19) 3.3.4汇聚层交换机FLOOR4_HJ连接及配置说明 (21) 3.3.5汇聚层交换机FLOOR5_HJ连接及配置说明 (22) 3.3.6核心层交换机CORE连接及配置说明 (24) 3.5 配置静态路由 (25) 3.6 连接广域网 (27) 3.7 网络安全控制及管理 (30) 第四章企业网组建方案总结 (30) 参考文献 (32)

中小型园区网的设计与实现 前言 计算机网络特别是INTERNET的产生和发展在现代科技技术史上具有划时代的意义和影响,计算机网络的飞速发展日新月异,计算机网络彻底改变了人们的工作方式和生活方式,改变了企事业单位的运营和管理模式。 在现今的网络建设中,中小型园区网的建设是非常重要和普遍的,如:校园网和企业网。园区网经历了从早期简单的数据共享到现在园区网内部全方位、高速度、多种类应用的共享及服务的转变。下面就以某企业的实际情况,设计一个可以正常运行的园区网,并对建成的网络进行优化,采用多种手段对网络进行优化是网络组建成果的重要组成部分,缺少优化的网络就算硬件配置在高,还是不能把网络资源充分利用。安全和稳定的运行是企业网追求的终极目标。 第一章企业网建设综述 1.1 项目建设目标 建设分层的交换式以太网络,对建成企业网络进行优化,使其得到充分的利用。

高校文学欣赏论文

高校文学欣赏论文 一、开设意义研究 (一)文学欣赏选修课对增强学生人文素质教育具有重要作用 文学欣赏作为一门重要的人文素质类选修课,应充分利用其课程资源,引导学生增强艺术熏陶,提升审美水平,从而达到提升自身人文素质 修养的目的。郭俊敏认为文学欣赏课能够协助学生“提升理解社会、 理解人生的水平,拓宽视野、增长知识,提升学生的认知素质”、 “提升艺术修养,增强学生的审美情趣,增强心理素质”。邹积艳在 研究中提出了在文学欣赏课中实施人文教育的方法。王秀娟就文学欣 赏课程的性质,在素质教育中的地位、作用及如何有效地在文学欣赏 过程中实施素质教育等问题实行探讨。赵志英、王爱峰从高职院校实 行人文教育的意义、文学类公选课蕴含的人文精神以及高职文学类选 修课实施人文素质教育的举措三个方面,探讨文学类公选课与大学生 人文精神、人文素养培养之间的关系。 (二)文学欣赏选修课是学生文学教育的重要组成部分 学生从小接受语文教育,但应试教育的压力下教学重在语言文字基本 知识的记诵,真正能在文学世界中欣赏、体味,得到审美享受的机会 并不多。王晓彬尖锐地指出“,中国的学校教育中并没有真正意义上 的系统的文学教育”“,现行的语文教育充满功利色彩”“,文学教 育彻底远离了情与美,文学作品失去了应有的魅力,学生也对文学失 去最基本的兴趣”。郭俊敏认为,“利用文学实行文化素质教育的价 值要远远超过语言教育的价值”,而“文学欣赏课是展示、发挥文学 作用的最好形式”。文学欣赏课能“通过对古今中外的名作赏析,使 学生感受到文学作品的艺术魅力,通过作品的感染力培养学生的审美 情趣和健全的人格个性”。罗杰文指出,文学欣赏课应重视培养审美 情趣,并与审美文化教育结合,而其关键在于教师。 (三)文学欣赏选修课对学生思想品质、心理素质的积极影响

论文致谢范文

篇一:万能毕业论文致谢范文 范文一: 我历时将近两个月时间终于把这篇论文写完了,在这段充满奋斗的历程中,带给我的学生生涯无限的激情和收获。在论文的写作过程中遇到了无数的困难和障碍,都在同学和老师的帮助下度过了。在校图书馆查找资料的时候,图书馆的老师给我提供了很多方面的支持与帮助,尤其要强烈感谢我的论文指导老师—xx老师,没有她对我进行了不厌其烦的指导和帮助,无私的为我进行论文的修改和改进,就没有我这篇论文的最终完成。在此,我向指导和帮助过我的老师们表示最衷心的感谢! 同时,我也要感谢本论文所引用的各位学者的专著,如果没有这些学者的研究成果的启发和帮助,我将无法完成本篇论文的最终写作。至此,我也要感谢我的朋友和同学,他们在我写论文的过程中给予我了很多有用的素材,也在论文的排版和撰写过程中提供热情的帮助! 金无足赤,人无完人。由于我的学术水平有限,所写论文难免有不足之处,恳请各位老师和同学批评和指正! 、 、 范文二:致谢 四年的学习生活在即将划上一个句号,而于我的人生来说却仅仅只是一个逗号,我将面对新的征程的开始。本研究及论文是在我的导师xx的亲切关怀和耐心的指导下完成的。伟人、名人固然为我所崇拜,可是我更迫切地想要把我的敬意献给给一位平凡的人,我的导师xx老师。也许我不是您最出色的学生,但您却是我所最尊敬的老师。您是如此的治学严谨,学识渊博,视野广阔,思想深刻,您用心为我营造一种良好的学术氛围,让我的论文更加的严谨。 同时,我还要感谢一下一起完成毕业论文小组的同学们,如果没有你们的支持和倾心的协助,我是无法解决这些困难和疑惑,最终能够让本文顺利完成。 至此论文付梓之际,我的心情无法保持平静,从开始选择课题到论文的顺利答辩,有无数可敬的师长、朋友给了我很多的帮助,在这里请您接受我诚挚的谢意! 最后,再次对那些在论文完成过程中,关心、帮助我的同学和朋友们表示衷心地感谢! 范文三:免费毕业论文致谢的相关文章。 致谢 四年的求学生涯,在老师,朋友的全力支持下,我走得辛苦却也收获颇丰。在值此论文即将付梓之际,我思绪万千,心情久久不能平静。 我的导师,xx老师,从我上大学以来就以他严肃的科学态度,一丝不苟的学术精神,求同存异的工作作风激励着我,xxx老师,他不仅仅只是在学业上给我以悉心指导,更在思想、生活上给予了我关心和帮助,在此,我谨向xxx老师致以十二分诚挚的谢意。 同时,我还要感谢我的父母。焉得艾草,言树之心,养育之恩,无法回报,你们永远健康快乐将永远是我最大的心愿!!在这论文即将完成之际,我的心情十分激动,毕竟,从开始进入课题到最终论文得以完成,有无数可敬的朋友给了我帮助,在这里,也同样请接受我真诚的感谢!篇二:毕业论文致谢信致谢词范文精选6篇 毕业论文致谢信致谢词范文精选6篇 1、毕业论文致谢 本论文实在导师**教授和**研究院的悉心指导下完成的,导师渊博的专业知识,严谨的治学态度,精益求精的工作作风,诲人不倦的高尚师德,严以律己、宽以待人的崇高风范,朴实无华、平易近人的人格魅力对我影响深远。不禁使我树立了远大的学术目标、掌握了基本的研究方法,还是我明白了许多待人接物与为人处事的道理。本论文从选题到完成,每一步都是在导师的指导下完成的,倾注了导师大量的心血。在此,谨向导师表示崇高的敬意和衷心

中国地质大学毕业论文流程及撰写规定

本科毕业论文(设计)管理规定与流程

本科毕业论文(设计)工作规范 本科毕业论文(设计)是继续教育人才培养的重要环节。毕业论文(设计)可以提高学生运用所学知识去分析、解决实际问题的能力,是检验学生学习效果的重要手段。为了切实做好我校网络教育和成人教育的本科毕业论文(设计)工作,提高毕业论文(设计)质量,规范毕业论文(设计)过程管理,特制定本工作规范。网络教育毕业论文(设计)严格按此规范执行,成人教育毕业论文(设计)参照此规范执行。 一教学要求与操作流程 第一条毕业论文(设计)分为三个阶段:选题及初稿阶段、评阅交互及终稿阶段、答辩阶段。所有阶段均在教学管理平台上完成。 第二条毕业论文(设计)时间定为:第4学期初各学习中心布置毕业论文(设计)工作,聘请指导教师,填报指导教师电子表。第4学期末开始选题及初稿阶段,第5学期完成评阅交互及终稿阶段和答辩阶段。 第三条毕业论文(设计)的资格要求: 1.凡所修课程不达相应专业教学计划规定的2/3者,不得进入毕业论文(设计)阶段。 2.在规定时间内毕业论文(设计)不及格的学生不得参加答辩,并推迟毕业。 第四条毕业论文(设计)的操作流程及注意事项 一、由地大管理员给学生选课“毕业论文”并设置论文各阶段开始及截止时间。 二、由学习中心管理员给学生“分配论文指导教师”,进入选题及初稿阶段。 三、在选题及初稿阶段由指导教师给分配到自己名下的学生“设置论文题目” 注意:1.指导教师要按所指导的学生人数分别出选题,确保每个学生都有各自的选择。 2.学生也可自行选题,但必须符合本专业教学大纲,且由指导教师认可上传平台。 3.选题不得使用“XX”或“某”一定要有具体项目及内容。 四、学生选题后,在选题及初稿阶段的有效时间内可多次提交毕业论文(设计)初稿。经指导教师指导合格后,由指导教师在选题及初稿阶段的截止时间前在平台的“评阅交互”处上传指导合格的学生毕业论文(设计),至此选题及初稿阶段结束进入毕业论文(设计)评阅交互及终稿阶段。 注意:1.指导教师在上传指导合格的学生毕业论文(设计)时一定要认真填写指导意见表。若没有填写或胡乱填写,学生毕业论文(设计)按不及格处理。 2.学生毕业论文(设计)的正文字数不得低于8000字。调查报告、生产报告、工作总结及项目说明书等各类非毕业论文(设计)的文章不能代替作为毕业论文(设计)。 3.每个指导老师在“评阅交互”处只有两次上传的权限,一次是在选题及初稿阶段,另一次是在评阅交互及终稿阶段。所以请指导老师一定要上传正确的文件,以免延误学生毕业。 五、进入评阅交互及终稿阶段后,第一次评阅成绩不及格的学生在评阅交互及终稿阶段的截止时间前有一次重新循环进入选题及初稿阶段的机会。学生应按评阅教师的评阅意见认真修改毕业论文(设计),不得擅自另选题目重做毕业论文(设计)。指导教师应按评阅教师意见指导学生完成毕业论文

关于毕业论文答辩演讲稿模板合集10篇

关于毕业论文答辩演讲稿模板合集10篇毕业论文答辩演讲稿篇1 各位老师,上午好! 我是**,是*级*班的学生,我的论文题目是:**。论文是在**老师的认真指导和大力支持下完成的,谢谢**老师。很感谢各位老师参加我的毕业论文答辩,谢谢各位老师。下面我把论文的主要内容向各位老师做一个简要介绍,希望得到老师们的指导。 内容: 首先,是论文的目的及意义。 目的: (1)了解、掌握公共服务市场化的基本理论。 (2)客观展现公共服务市场化过程中政府履行责任存在的主要问题。 (3)明确政府在公共服务市场化过程中所应当履行的职能和承担的责任。 意义: (1)有助于推动公共服务市场化理论的发展和完善。现有的研究虽有政府监管的相关内容,但只是笼统的说政府应当监管,而鲜有专门论述政府的职责问题。因此,对此问题的研究将会丰富公共服务市场化的基本理论。 (2)有助于解决我国公共服务供给的现实问题,提升公共服务供给的质量和效率。 (3)有助于更好的“卸载”政府职能,推动政府职能优化。 其次,我想谈谈这篇论文的结构和主要内容。 本文分为四个部分。

第一部分是前言。这部分主要讲述选题目的和意义以及研究思路和方法。第二部分是公共服务市场化过程中的政府责任问题概述。这部分主要论述: (1)相关概念 (2)公共服务市场化过程中政府承担的责任 (3)公共服务市场化过程中政府履行责任所存在的问题 (4)公共服务市场化过程中政府责任缺失的危害性 第三部分论述公共服务市场化过程中政府履行责任所存问题的原因,如: (1)政府在公共服务市场化进程中的职责不明确 (2)政府在公共服务市场化进程中监管的失位和越位 (3)政府责任追究不到位等。 第四部分是完善公共服务市场化过程中政府责任的相关对策及建议,例如: (1)明确政府在公共服务市场化过程中的职责 (2)政府对市场主体的监督 (3)完善公共服务问责机制,依法追究政府责任等。 结束语。 由于笔者在专业知识、理论视野方面的局限,对公共服务市场化过程中政府责任问题的研究不全面,在完善公共服务特许经营制度方面的论述不够详细具体,社会公众对政府的监督措施方面需要深化,希望通过以后的学习继续研究。请各位老师多多批评指正,谢谢老师! 毕业论文答辩演讲稿篇2 尊敬的各位老师,上午好! 我叫xxx,是注会081班的学生,我的论文题目是企业应收账款

毕业论文终稿007

钦州学院本科生毕业论文(设计) 钦州协盛超市营销环境分析与发展对 策研究 Analysis of qinzhou Xiesheng supermarket’s marketing environment and development Strategies 院系商学院 专业市场营销 班级2009级(专升本) 姓名覃理竹 学号 0901203404 指导教师单位商学院 指导教师姓名苏碧娟 指导教师职称助教

. . 钦州协盛超市营销环境分析与发展对策研究 市场营销专业2009级覃理竹 指导教师苏碧娟 摘要 随着中国的入世后零售市场逐渐开放,国外的大型商业零售业沃尔玛、家乐福 和麦德龙等跨国企业大举进军我国,开展了低价采购商品、设立配送中心和选址开超市等经营活动,这既促进流通市场的发展,也对我国的流通企业造成威胁。在这激烈竞争的时代,中国的超市企业如何生存及快速发展,这不仅是所有的超市经营者必须面对的问题,也是业内认识普遍关注的问题。 本论文以协盛超市为案例,运用文献分析法、规范理论分析法、SWOT分析和实地调查方法,理论联系实际,对协盛超市的经营环境、经营中存在的问题与原因等进行了详尽的分析,并对协盛超市提出了相应营销策略。 关键词:协盛超市,产品策略,价格策略

Analysis of qinzhou Xiesheng supermarket’s marketing environment and development Strategies Marketing Management major grade2009 Qin Li-zhu Supervisor Su Bi-juan Abstract With China's gradual opening up the retail market after WTO entry, foreign large-scale commercial retail Wal-Mart, Carrefour and Metro and other multinational companies make significant inroads into China, launched a low-cost procurement of goods, establishment of distribution centers and the location to open supermarkets and other business activities This not only promote the circulation of market development, also pose a threat to China's distribution companies. In this era of fierce competition, China's supermarket business, and how to survive the rapid development, not only all of the supermarket operators have to face the issue, but also the industry's awareness of issues of common concern. In this thesis, It use Xiesheng supermarket as a case,use the literature analysis, gauge theory analysis, SWOT analysis and field survey methods. And detailed analysis of the supermarket business environment, management issues and causes,and then make the appropriate marketing strategy. Key words:Xiesheng Supermarket,Product Strategy,Price strategy

中国文学欣赏论文

) 环境科学与工程学院 《中国文学欣赏》课程论文 题目:《且说说李白》 课程《中国文学欣赏》 班级市政1002 学号1013300201 学生姓名杨海峰 任课教师潘水根 二○一二年四月

且说说李白 实话说来,李白,苏东坡,李清照等人,总是频繁在各类作文中出现,“仰天大笑出门去,我辈岂是蓬蒿人”被引用了不下千次,而苏东坡的“十年生死两茫茫”又似乎最能触动人心底最柔软的一面,绿肥红瘦的李清照要是泉下有知,也必定被这般光景感动得涕泗横流,满心的喜悦连双溪舴艋舟都载不动了吧。这般来掘古人的墓实在过于残忍,然而他们留给我们的一大批精神财富却又永远享受不完,不求甚解,也得观其大略,抽出时间来细品些许,也倒图个自在,拨弄点趣味。 此三人中,最欣赏便是苏东坡,真性情,满腹才气,余秋雨先生《山居笔记》中所述东坡突围一章我几乎可以背的下来,浩荡人生,起起伏伏,殷勤昨夜三更雨,不过浮生一日凉,惊起回头的苦楚,枫栖沙洲的落寞,最后倒不如徜徉在西子湖畔,暮山好处,空翠烟霏。 作为苏东坡的前辈,李白可比东坡洒脱的多,他多数的唠叨也就是酒饮千杯不醉的乐趣,至于仕途,能顺则顺,不能顺就置身其外。一首洋洋洒洒的《蜀道难》只为换取个官做似乎太过于浪费,得个“谪仙人”的称号也算名满天下。高力士脱靴,杨国忠磨墨,这种被人说烂了的桥段才是我们的太白仙人追求的生活,你们这些权贵达人,在我看来也就是些市井之徒,我为你杨玉环写了那么多违心的赞美的诗词,却都不及高力士这宦官几句挑拨,罢了罢了,你们也只配与我脱靴磨墨的份,什么仗剑去国,什么去国怀乡,不及我秀口一吐,就是半个盛唐,长安城小,壶中日长,不如就做个侠士,管他什么政治! 苏东坡写多了,自然也有些审美疲劳了。看看李白,倒也显得休闲自在,那么,且说说李白。 痛饮狂歌空度日 飞扬跋扈为谁雄 那一双傲慢的靴子至今还落在 高力士羞愤的手里,人却不见了 把满地的难民和伤兵 把胡马和羌笛交践的节奏

浅析文学思潮与文学流派之间的关系

暨南大学 本科生期中作业 论文题目:浅析文学思潮与文学流派之间的关系 学院:文学院 学系:中文系 专业:汉语言文学 课程名称:中国现代文学思潮 学生姓名:苏正委 学号:2009050117G 指导教师:洪志刚 2010年 5 月2 日

浅析文学思潮与文学流派之间的关系 【摘要】:在中国文学的发展史中,文学思潮与文学流派之间存在着某种“共生”的关系。文学思潮的起伏及文学流派之间的形成是文学史上常见的现象,研究文学思潮的发展变化,可以便于从总体上发现个把握文学特征及文学的发展规律,有助于更深刻地理解文学和时代的关系,而文学思潮和文学流派之间有着观念互补的作用。本文从现代性和价值观念的角度来说明文学思潮和文学流派之间的关系。 【关键词】:现代性,价值观,文学思潮,文学流派 文学思潮作为特定历史条件下的自觉的文学倾向,并不是自古就有的,而是现代性的产物。现代性是使现代社会成为可能的力量,其核心是启蒙理性,包括科学精神和人文精神。只有从现代性的角度考察文学思潮,才能揭示文学思潮的本质。而现代性产生以后,面对传统社会向现代社会的剧烈转变,迫使文学对现代性作出明确的反应,于是就形成了各种文学主张、风格、流派,也就是形成了特定的文学思潮。而文学思潮的传承演变和文学流派的多样纷呈,构成了我国文学理论的主要内容和主题框架。在文学史发展的每一个阶段,文学思潮之间的争论和文学派别之间的不相融合都是那个时代的文学理论的精华所在,也是促使文学观念发展进步的客观原因。 一、现代性与文学思潮及其文学流派 思潮,《辞海》释为某一时期影响较大的思想倾向。梁启超在《清代学术概论》中最早引入“思潮”一词,并作了形象生动的释义:“凡文化发展之国,其国民于一时期中,因环境之变化与夫心理之感召,不期而思之进路,同趋于一方向,于是相与呼应汹涌如潮然。始焉其势甚微,几莫之觉,寝假而涨——涨——涨,而达于满度;过时焉则落,以渐至于衰熄。凡‘思’非皆能成‘潮’,能成‘潮’者,则其‘思’必有相当之价值,而又适合于时代之要求者也”。[1]这里强调的思潮是一种思想运动,也是一种历史的过程,具有进化论的价值取向。 那么,什么是文学思潮? 纵观中国文学的发展中,文学思潮的出现,往往是由多种因素形成的。其中最主要的是社会经济形态的变化和由此产生的新的思想要求,这两者是文学思潮形成和发展的客观基础。此外,历史文化的材料准备与文学思潮的形成也具有渊源关系。而文学思潮是在一定历史时期随着经济变革和政治形势的变化,一些文学家从某种观点出来,对文学的本质功能、价值等,根本问题作出回答,形成一个理论体系和审美原则,体现在创作上有大体一致的思想倾向、创作方法和艺术风格,仍在文学思想形成一定的影响,这就形成了文学思潮。它是指一定历史时期和一定地域内形成的,与社会的经济变革和人们的精神需求相适应,具有广泛影响的文学思想和文学创作的潮流。其中,历史性是文学思潮的基本内涵。韦勒克曾经这样强调文学思潮的历史性:“它不是一个理想内型或一个抽象模式或一个种类概念的系列”,而是“一个以埋藏于历史过程中并且不能从这过程中移除

手机游戏的设计与实现—毕业设计(论文)正文终稿

手机游戏的设计与实现

山东大学毕业设计(论文)成绩评定表学院:专业:年级: 答辩成绩(40%)

目录 摘要 (3) Abstract (4) 第 1 章绪论 (5) 1.1手机游戏 (5) 1.2手机游戏的分类 (5) 1.3技术简介 (7) 1.3.1Android简介 (7) 1.3.2Eclipse/IBM-Eclipse简介 (8) 1.3.3Android SDK简介 (8) 1.3.4SQLite简介 (9) 1.3.5数据库的设计 (10) 第 2 章需求分析 (12) 2.1需求分析 (12) 2.1.1基本功能需求 (12) 2.1.2具体功能需求 (13) 2.1.3运行环境 (13) 2.1.4性能需求 (14) 2.2系统结构化分析 (14) 2.3目标系统要求 (18) 第 3 章总体设计 (19) 3.1系统界面总体设计 (19) 3.2总体流程设计 (20) 3.3游戏程序结构 (20) 3.4后台数据库的总体设计 (21) 第 4 章详细设计 (22) 4.1主菜单详细设置 (22) 4.2游戏关卡详细设置 (23)

4.3闯关过程详细设置 (24) 4.4道具系统详细设置 (26) 4.5剧情详细设置 (28) 4.6系统数据库详细设计实现 (32) 4.6.1概念模型设计 (33) 4.6.2逻辑模型设计 (33) 4.6.3物理模型设计 (34) 4.7数据库链接设置 (35) 第 5 章系统测试 (37) 5.1系统测试模型选择介绍 (37) 5.2系统测试运行结果 (38) 第 6 章结束语 (42) 致谢 (43) 参考文献 (44) 附录一英文原文 (45) 附录二译文 (52)

精选毕业论文答辩演讲稿4篇

精选毕业论文答辩演讲稿4篇 毕业论文答辩演讲稿篇2 尊敬的答辩组的各位老师和同学: 大家早上好! 我是来自级xxx的学生xx,我的论文指导老师是xxx老师。我的论文题目是《贵州酒文化旅游开发探析》。 首先,我想谈谈我写这篇毕业论文的目的及意义。 其实关于酒文化的旅游开发和设计虽然已经有很多人做了研究,其中关于贵州酒文化的旅游开发也有涉及,但是我依然选择了这个作为自己的论文题目,首先是基于自己的兴趣爱好;同时,也是基于了以下三个方面的考虑: 1.现在随着国内旅游业发展的进一步深入,旅游模式逐步升级,开始由观光游时代向体验游时代转变,旅游类型也开始由大众旅游向专业化、多元化方向发展,专题旅游已经成为一种旅游发展的趋势。本文的酒文化旅游正是一种专题旅游。本文的写作目的也就是在专题化旅游开发的大背景下,为我省的旅游规划和发展提供一种声音,一个思路。 2.我们知道,旅游的生命在于特色,有了特色旅游业的发展才能长远,酒文化旅游就是贵州旅游的一大特色。贵州作为茅台的故乡,不仅有悠久的酒文化历史,更有丰富的酒文化旅游资源。探讨贵州的酒文化旅游开发,不仅对贵州有着特别的意义,对国内酒文化的旅游开发也是一种典范带动。 3.我选择这个题目,老实说,我一开始是觉得这方面的文献资料比较充分,应该易于开展论文的撰写,所以选择了它。呵呵.... 其次,我想重点说一下本文的主要内容及探讨的主要问题 本文主要阐述了酒文化以及酒文化旅游的内涵,同时重点对贵州发展酒文化旅游的条件和存在的问题进行了分析,在此基础上对贵州酒文化旅游产品的开发提出了一些建议。 本文主要有五个组成部分:

1. 酒文化旅游概述,在这一部分我首先介绍了酒文化、酒文化旅游的定义,并重点阐明了贵州酒文化旅游资源的类型。 2. 对贵州开展酒文化旅游的条件进行了分析,主要从地理气候、资源条件、社会文化、政府政策等方面做了阐述,从而充分论证了贵州酒文化旅游开发的可行性和必要性。这是本文的一个难点。 3. 对贵州酒文化旅游开发中现在存在的突出问题进行了分析,如酒旅分家严重,产品单一,开发创新力度低,缺乏专业化的策划、推广和营销等。我认为这是本文的亮点。 4. 针对贵州现存的问题,我尝试给出了贵州酒文化旅游产品开发的建议,通过挖掘﹑整合、再塑造贵州酒文化,进一步开展酒文化旅游节庆活动,彻底激活酒旅游的活力,从而塑造民族酒品牌、创造出品牌效应,进而开展酒乡旅游,打造出成熟的.酒文化旅游线路,最终结合其他行业推进贵州酒旅游的综合开发,真正实现贵州旅游业的再发展,再辉煌。我认为这正是本文为数不多的一个创新之处。 5. 最后总结全文,给出方向。 由于本人水平所限对某些概念和方法的理解还不是很深刻,其中关于开发的畅想也只是学生凭着现在学的知识,在分析了贵州现状的基础上给出的一些建议,思考肯定还不够深刻也不够全面。同时我觉得本文的最大缺憾是没有相应数据分析和图表解释,以致文章的阐述缺乏足够的说服力。这一方面是自己的专业数据收集、处理能力不够,另外就是现有专业的、权威的、最新的酒文化旅游的数据缺乏。没有相应部门的统计,获取当然不易。此外虽然我已经很仔细的检查过了,但是依然可能还存在一定的错别字或语句不是很通顺的地方。 关于体会:坦白说通过这次论文的写作,在信息检索、阅读写作、基础知识、沟通能力等方面都得到了一定程度的提高。(真有提高吗?哈哈.......)但是,这篇论文的写作以及分析的过程,也是我越来越认识到自己知识与分析能力薄弱的过程。虽然,我尽可能地收集材料,竭尽所能运用自己所学的知识进行的论文写作和分析,但论文还是存在许多不足之处,分析不透彻或者建议不具体,还有待改进。所以请

论文终稿

1 引言 芳香族聚噁二唑类聚合物(aromaticpolyoxadi-azoles,简称 POD)是一种芳杂环高分子材料,具有诸多的优良特性如:热稳定性、阻燃性、电绝缘性等。在20世纪60~70年代耐高温纤维的研究热潮中,POD纤维的合成与研究逐步开展起来 [1]。其中,研究的最早的芳香族聚噁二唑是聚对苯撑-1,3,4-噁二唑[2]。POD纤维不溶于一般有机溶剂和绝大多数无机溶剂,高温条件下只分解不熔融。同时,由于聚对苯撑-1,3,4-噁二唑的耐热性和阻燃性优异,通过纺丝成纤维,可以广泛应用于航空航天和民用等各个方面,具有广阔的应用前景。但由于聚对苯撑-1,3,4-噁二唑初生结构的不完善,纤维的力学性能、耐热性能和化学性能都会受到一定的影响。若对初生纤维进行热处理即可以完善纤维结构,同时提高各项性能指标[3]。20世纪八、九十年代,聚对苯撑-1,3,4-噁二唑的合成与成形研究几近停滞。在1990年以后,人们通过添加其他单体对POD进行改性[4],以提高POD的流动性、溶解性能和可加工性,以及增加其他功能。对于POD的电学和光学性能(主链共轭结构)的研究也一直进行,以及对它的反应机理,有人曾进行研究并提出了一些假设[5]。至今,只有少量的纤维与其结构类似,如:芳纶1313(Nomex)和Oxalon,其中Oxalon仅俄罗斯能够小批量生产[6]。尽管国际上对 POD 的研究已进行很长时间,但国内的起步很晚,仅黄俊彦等人采用中温聚合法和离心纺丝方法得到 POD纤维与涤纶共混用于绝缘纸。 本课题的研究思路为在测得阳离子红X-GRL染料最大吸收波长的基础上,绘制染料浓度对吸光度的标准工作曲线;再用阳离子红X-GRL染料对POD纤维进行上染,通过测定其上染百分率和半染时间,通过选用希尔公式计算得出扩散系数及比染色速率常数。实验结果表明,在70℃的条件下进行40min的染色,其上染百分率为44%,半染时间较短6.0min,,比染色速率常数为0.6939。在70℃,染色时间为10 min时,扩散系数为0.9442×10-7 (cm2·s-1)。 2POD纤维结构与性能 2.1 POD纤维的结构 POD纤维具有优良的耐热性、阻燃性、电绝缘性以及较好的纺织加工性能、

红楼梦文学欣赏论文

读红楼梦 说不完的红楼梦,道不完的红楼情。曹雪芹用自己的一生谱写了一部流芳百世的文学佳作。从清朝到现在,《红楼梦》已经走过了200多年的历史,期间,无数的名家大家拜读此作,对红楼赞不绝口,但对红楼的解读与研究永远没有尽头,因为它是那样的博大,400多人物的精心刻画,一个家族的兴衰历程,数不尽的文学艺术手法与写作技巧,值得我们永远的研究学习。 作为一个文学素养不怎么成熟的我,我不能像红学研究者一样,研究红楼的每个细节,对其中的艺术手法或是思想精髓进行评论点评,因为我觉得自己还没有那个高度,不能胡乱的发表自己的意见。但我认为,作为一个读者,读一部作品最重要的从作品中能体会到什么,对自身成长有何积极影响,把这种对自己或是周边环境有意的思想融入自己的作为,使自己更成长。经过这一番读、思、做的过程使自己上一个新台阶,这才是读作品的精髓。因此,我的论文不会对红楼人物或是作品本身做客观的评价论述,只是写写自己读红楼的一些思想萌动和红楼给我的影响。 人性固有的正义与邪恶、坚韧与软弱。 众多红楼人物的刻画,涵盖了各种各样的人物性格。从这各种各样的性格中,能看到人性固有的正义与邪恶,坚韧与软弱。而其中,我认为表现最强的是贾宝玉。贾宝玉生于贾府之富裕之境,因此自小就吃不愁穿不忧,过着骄奢淫逸的生活。在《西江月》中,是这样描写贾宝玉的:

无故寻愁觅恨,有时似傻如狂。纵然生得好皮囊,腹内原来草莽。潦倒不通世务,愚顽怕读文章。行为偏僻性乖张,那管世人诽谤!富贵不知乐业,贫穷难耐凄凉。可怜辜负好韶光,于国于家无望。天下无能第一,古今不肖无双。寄言纨绔与膏梁:莫效此儿形状!此番描写,是对他最客观的外在描写,读来似感此人就是那种富贵之家的败类之子一样,其实不然。当真正知晓贾宝玉之后,我们会感到此描写似贬实褒,因为他的思想是不和那些败类富家之子一样的,正是他的思想让他做出类似荒谬之事。他虽生在官宦之家,但是却极度的厌烦官宦世俗、人情世故,他鄙弃功名利禄,最恨所谓“仕途经济”。他看不惯的这种腐朽的封建官宦思想,正是他的本性中存有的正义的背叛与抵抗。这种“不思进取”,是与传统社会性别角色对男人的要求背道而驰的。在贾宝玉那里,与功名相对的,是“风月诗酒”,他沉浸其中而自得其乐。而,恰恰他的背叛心理,让他又长期沉浸在风花雪月、不务正业的淫乐生活之中,也许,我们可以认为这是他为了反叛、为了抵抗而为,但我们不难看出他的很多淫乐之为还是他所向往和喜爱的。这可能和他的起初意向有所不同,但长期的骄奢淫逸之为,已经让他爱上了他的所作所为,我想这就是他本性的邪恶与贪婪的体现。贾宝玉身上还能看到坚韧;贾宝玉是反封建的叛逆者。他是追求自由,平等的理想主义者。他的痴情表现的是对恋人,友人,亲人及对万事万物的博爱。直到最后,家境衰落,他也没有改变自己的思想,而选择遁入空门。而他的思想还是有一点狭碍的,那就是人性的软弱。例如他不敢与封建制度彻底的决裂;他从来不敢和封建家长

浅析中国现当代自由主义文学思潮

浅析中国现当代自由主义文学思潮 论文关键词:自由主义;本体观;特性;二律背反 论文摘要:自由主义思潮是一种思潮,在中国现代文坛表反映为要求“文学自由”的理论呼声与创作趋向。这股文学思潮发端于晚清,从五四刘建国前兴衰起伏三十年,建国后丧失生存空间,其特殊境遇中有着复杂的内蕴。文学上的自由主义思潮塑造了作家的独立人格,强化了作品人文精神和审美品格。然而它却面临着“功利主义和审美价值二律背反”的必然困境。 自由主义思想的萌芽,最早可追溯到古希腊时期,而现代意义上的自由主义则是17世纪以后才出现的。人们通常以洛克作为第一个真正具备自由主义特征的思想家。上这种极具平民性及广泛性的思想,在欧洲17世纪的科学革命和18世纪启蒙运动的催化下,从英国开始并迅速在欧美各地较发达的国家生根发芽,到”世纪达到全盛,直至20世纪才处于衰落状态(20世纪以后又获得新的发展)。自由主义所倡导的政治、公民(个人)权利以及对、自由企业和产权保护的提倡,对个人和自立观念的坚持等思想,在政治、经济和文化方面,深刻影响了近代世界的历史进程。“自由”已构成了现代性的根本价值。 中国的自由主义思潮和运动可谓生不逢时,当它开始萌芽之际,正值欧美的资产阶级自由主义思想处于衰落之时(正值社会逐渐沦入半殖民地半封建的深渊之时)。与自由主义在欧美民众中的普遍接受不同,中国的自由主义思想主要存在于思想文艺界的知识分子中间。它代表性的人物主要是一部分从欧美留学归来、深受西方自由主义思想影响的知识分子,如晚清时代的严复、康有为、梁启超、谭嗣同,以及民国时期的胡适、周作人、朱光潜、林语堂、粱实秋、沈从文、徐志摩等人。以他们为核心,形成了中国近现代独具特色的时断时续的自由主义政治文化思潮。笔者这里所要论及的中的自由主义思潮是指在近现代文学史上存在的,以那些深受西方自由主义思想影响的作家为主体,以维护文学的独立品格和作家创作心

论文中的致谢三篇

论文中的致谢三篇 从去年9月份开始着手准备论文的写作到现在论文完成,期间的我经历了茫然无错、无从下笔的过程,在我最需要指点的时候王树祥老师给与了我极大的帮助,虽寥寥数语,却能一语中的的点出我存在的问题,是我茅塞顿开,对如何选择论文题目、组织论文结构、引用数据分析结果有了清晰的思路,我对王老师广博的知识面、深入的洞察能力、敏锐的观察能力感到由衷地折服。在此,对王树祥老师给与我无私的帮助表达我最诚挚的谢意;在本文撰写过程中,参阅并引用了大量国内外专家学者的研究成果,再次向他们表示诚挚的敬意和谢意。 值此机会,还要衷心感谢南昌商学院的全体老师,他们辛苦授业的工作态度、诲人不倦的敬业精神使我受益匪浅,使我能如期完成本科的学业;同时还要向所有为我们提供良好教学环境的校领导和工作人员表示感谢! 首先,我要感谢我的导师吴冰副教授。吴老师严谨的治学风格、渊博的知识、一丝不苟的治学精神、高尚的师德深深的影响着我的学习和生活。在本文的写作过程中,吴老师对我的论文选题、开题、直到最终定稿都进行了悉心的指导,使得我少走许多弯路,并顺利的完成了论文的写作。在此我衷心感谢吴老师无私的帮助与指导。

另外,感谢工商管理系各位老师,感谢他们在课堂上的言传身教和谆谆教诲,特别要感谢董临萍老师、杨桂菊老师在我论文开题时提出中肯而宝贵的意见。还有感谢班主任余开静老师和班级同学在两年多的硕士研宄生学业中对我的帮助,师德永记、友谊长存。 最后我要感谢妻子和女儿,妻子在这两年多的时间里承担了绝大部分照顾家庭和女儿的重任,使得我可以顺利完成学业;感谢女儿在这两年里带给我的欢乐,女儿也即将步入幼儿园的学堂,我相信,硕士研究生的学习经历必将成为我帮助女儿茁壮成长的财富之一。 三年的硕士研究生时间就这么过去了,真的是一眨眼的功夫,回首过去三年的岁月,要感激的人很多,要的东西很多,一抬头一伸手每一件物品都在播映过去三年幸福的画面,我是一个不能很快从故事情节里快速撒手转身离开的人,那就慢慢消化再慢慢走出来吧! 首先,感谢我的导师樊统江教授。本文是在樊老师的悉心指导下完成的,从本文的选题、写作思路和方法、到论文的完成,樊老师都提出了许多有益的意见和建议。樊老师渊博的学识,严谨的治学态度,朴素、严密而又富有创造性的思维方式,独特而卓有成效的科研方法以及无私的奉献精神,给我留下了深刻的印象,让我受益匪浅,终身受用。樊老师不仅在学术上对我严格要求,同时在生活上关爱有

企业文化在产品销售中的推动作用毕业论文正文定稿

前言 企业文化是企业的长期的生产经营和管理实践活动中逐步形成的基本定型的价值观念体系,心理文化形态和行为规范的总和以及其相适应的表现形式。 在20世纪80年代中期企业文化理论传入中国之后,不到数年,企业文化便得到了迅速而广泛的发展。21世纪,随着知识经济和经济全球化的发展,企业之间的竞争越来越表现为竞争的文化,企业文化对企业的生存和发展中的作用越来越大,成为企业竞争力的基石和一个关键决定兴衰的因素。在这种情况下,企业文化在新形势下的学习、使用和实践是非常重要的。要创建一个以人为本,以创新为导向的企业文化,可以提供最有效的战略管理,最持久的平台。纵观成功企业的发展史,无一例外地有着深厚的沉淀自己的文化。但是,企业文化是最不起眼的企业管理领域,也是企业迄今为止最具挑战性的部分,它反映了价值观,士气和方式的企业沟通,而且还体现在员工的行为习惯。由此可见,企业文化是密切关系到企业的生存和发展,决定着企业的生存。构建企业文化,自然也成为一个热门话题,同时也成为建立现代企业管理制度不可或缺的重要因素。

一、企业文化概述 (一)企业文化的含义 从广义上说,文化是人类社会的进程中创造的物质财富和精神财富的历史实践的总和;狭义上说,文化是社会的意识形态和与其相适应的组织机构与制度。企业文化是企业在管理、生产和经营过程中慢慢形成的、所有员工承认和愿意遵守的、带有团体组织特点的愿景、宗旨、精神、经营理念和价值观,从本质上讲,企业文化是一个企业之间所产生的文化现象,它的出现与现代企业管理理论和实践的发展是分不开的,从管理的角度来看,企业文化是实现管理目标和应用程序的管理工具,因此,企业文化是不仅符合文化现象,而且还具有管理工具的内涵。 首先,企业文化是占主导地位的企业管理意识,追求和实现一定企业目的的文化形态,而不是企业所有人员的观念和思想的文化形态大杂烩。从一定意义上说,企业文化就是企业管理的文化。 其次,企业文化是一种有自己的共同目标、群体意识和相适应的组织制度的组织文化。企业文化包含的价值观、行为准则和其他意识形态和物质形态通常是企业团体认可的,与无组织的个体文化、超组织的民族文化、社会文化是不同的。再次,企业文化是一种“经济和文化”。企业文化是在企业和企业员工生产过程中和管理活动中逐步形成的,离开企业的经济活动,就不可能有任何形式的企业文化,更不用说形成良好的企业文化。它包括的内容有:哲学、价值观、企业精神、企业道德、团体意识、企业形象、企业制度。 (二)企业文化的主要作用 企业文化的根本意义在于,它具有一系列特殊的功能,这些功能是任何其他系统所不具备的: 1.导向作用 企业文化的导向功能分为价值导向与行为导向功能。文化的核心是企业价值观,企业文化就是对所有成员的影响力和指导值,使会员企业自愿与业务对齐的首要任务。该企业的企业文化价值观的成功可以成为价值观的员工,巧妙地改变员工的态度来指导员工的行为。行为准则是企业文化的重要组成部分,它是如何的员工应采取更详细的指导,具体层面,还是从细节体现在独特的企业文化。行为守则可以帮助员工了解企业文化,也可以让员工从行动实现企业文化。 2.激励作用 积极的思想能形成很强的使命感和持久的动力。积极向上的企业文化是一种自我激励,通过它控制自己的行为,找出差距,可以产生一种动力,提高了工作。同时,共同

相关文档