文档库 最新最全的文档下载
当前位置:文档库 › 西工大模电实验报告

西工大模电实验报告

西工大模电实验报告
西工大模电实验报告

西工大模电实验报告(完全版)

晶体管单极放大器 一、实验目的 (1)掌握用Multisim11.0仿真软件分析单极放大器主要性能指标的办法。 (2)掌握晶体管放大器静态工作点的测试和调整方法,观察静态工作点对放大器输出波形的影响。 (3)测量放大器的放大倍数、输出电阻和输入电阻。 二、实验原理及电路 实验电路如下图所示,采用基极固定分压式偏置电路。电路在接通直流电源Vcc而未加入输入信号()时,三极管三个极电压和电流称为静态工作点,即 (1) (2) (3) (4)

1、静态工作点的选择和测量 放大器的基本任务是不失真地放大小信号。为此应设置合适的静态工作点。为了获得最大不失真的输出电压,静态工作点应选在输出 特性曲线上交流福在线的中点(Q点)。若工作点选得太高则易引起饱 和失真;而选的太低,又易引起截止失真。 静态工作点的测量是指在接通电源电压后放大器输入端不加信号时,测量晶体管集电极电流、管压降和。 静态工作点调整现象动作归纳 电压放大倍数是指放大器输出电压与输入电压之比 (5) 3、输入电阻和输出电阻的测量 (1)输入电阻。放大电路的输入电阻可用电流电压法测量求得。 在输入回路中串接一外接电阻R=1kΩ,用示波器分别测出电阻 两端的电压和,则可求得放大电路的输入电阻为 =(6) (2) 输出电阻。放大电路的输出电阻可通过测量放大电路输出端 开路时的输出电压,带上负载后的输出电压,经计算求 得。 =()×(7) 三、实验内容 (一)仿真部分 1、静态工作点的调整和测量 (1)按图连接电路

(2)输入端加1kHz、幅度为20mV(峰-峰值)的正弦波,调节电位器,使示波器显示的输出波形达到最大不失真。 (3)撤掉信号发生器,用万用表测量三极管三个极分别对地的电压,、、,计算和数据记录与表一。 2、电压放大倍数的测量 (1)输入信号为1kHz、幅度为20mV(峰-峰值)的正弦信号,输出端开 路时(RL=∞),用示波器分别测出,的大小,由式(5)算出 电压放大倍数。记录于表二。 (2)放大电路输出端接入2kΩ的负载电阻,保持输入电压不变,测出此时的输出电压,并计算此时的电压放大倍数,分析负载 对放大电路电压放大倍数的影响。记录于表二。

西工大2016数电实验报告1

实验1 TTL集成门电路逻辑变换 一、实验目的 (1)掌握各种TTL门电路的逻辑功能。 (2)掌握验证逻辑门电路功能的方法。 (3)掌握空闲输入端的处理方法。 二、实验设备 (1)数字电路实验箱 (2) 74LS00集成门电路 三、实验原理 门电路是数字逻辑电路的基本组成单元,门电路按逻辑功能可分为与门、或门、非门及与非门、或非门、异或门等。按电路结构组成的不同,可分为分立元件门电路、CMOS集成门电路、TTL集成门电路等。集成门电路通常封装在集成芯片内,一般有双列直插和表面贴装两种封装形式。实验中常用的封装形式为双列直插式。每个集成电路都有自己的代号,与代号对应的名称形象地说明了集成电路的用途。如74LS00是二输入端四与非门,它说明了这个集成电路中包含了四个二输入端的与非门。 四、实验内容 (1)测试74LS00四个与非门逻辑功能是否正常。用MULTISIM软件仿真之后,搭接实际电路图测试。 (2)用与非门实现“与”逻辑,用MULTISIM软件仿真之后,搭接实际电路图测试。

(3)用与非门实现“或”逻辑,用MULTISIM软件仿真之后,搭接实际电路图测试。 (4)用与非门实现“异或”逻辑,用MULTISIM软件仿真之后,搭接实际电路图测试。

五、实验结果 通过计算机仿真和搭建实际的电路图可得如下的真值表。 (1)测试74LS00四个与非门逻辑功能

(2)用与非门实现“与”逻辑 (3)用与非门实现“或”逻辑

(4)用与非门实现“异或”逻辑 思考题:用与非门实现 Y=AB+AC+BC,创建逻辑测试电路,记录测试真值表. (做了的同学请将电路图和真值表记在实验报告中.) (1)电路图如下:

西工大_数电实验_第四次实验_实验报告

数电实验4 一.实验目的 熟悉用仿真法研究数字电路实验的过程,实现一个彩灯控制电路。 熟练使用VHDL语言 二.实验设备 1.Quartus开发环境 2.ED0开发板 三.实验内容 1、彩灯控制电路要求控制4个彩灯; 2、两个控制信号: K1K0= 00 灯全灭 01 右移,循环显示 10 左移,循环显示 11 灯全亮 3.彩灯正常工作的同时,四个七段数码管循环显示第一个同学的学号后四位一秒,第二个同学的学号后四位一秒,全黑一秒。 四.实验原理 1.彩灯控制电路的程序如下: LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.std_logic_ARITH.ALL; USE IEEE.std_logic_UNSIGNED.ALL; ENTITY led IS port( clk:in std_logic; data_in:IN STD_LOGIC_VECTOR(1 DOWNTO 0); data_out:out std_logic_vector(3 downto 0); data_out1,data_out2,data_out3,data_out4:out std_logic_vector(6 downto 0)); END led; ARCHITECTURE control OF led IS CONSTANT m : INTEGER:= 25000000; BEGIN PROCESS(data_in,clk) V ARIABLE cout : INTEGER:=0; V ARIABLE i : INTEGER:=0; BEGIN IF clk'EVENT AND clk='1' THEN cout:=cout+1; --计数器+1 i:=i+1; --计数器+1

模电实验报告一_西工大

模 拟 电 路 设 计 实 验 报 告 西北工业大学 赵致远2014302170 裘天成2014302171 2016年1月1日 实验一:电源 1.实验目的: ●学习开关型和线性型直流稳压电源原理。 ●认识电解电容与陶瓷电容的区别。 ●认识电感的作用。 ●学会通过芯片datasheet(数据表)了解其工作特性及参数指标 ●掌握直流稳压电源主要指标的意义与其测试方法。

熟悉开关型与线性型直流稳压电源的优缺点与其区别。 2.实验原理: a.线性稳压原理: 特点: 1.输出电压绝对值必须比输入电压绝对值低 2.输出三极管或者MOS管工作在放大状态,导通压降大,输入输 出电压压差大时效率较低。 3.输出电流能力较小 4.输出电压纹波小 5.无开关动作和EMI b.开关稳压原理: 降压 负压 升压

V SW I L V OUT ΔI L ΔV OUT T ON T 特点: 1.能够实现升压,降压,负压转换 2.采用开关传输能量,效率高。 3.具有大电流输出能力 4.输出纹波较大 5.开关动作产生较大EMI和系统电源噪声 3.实验内容: a.实验1:MC34063开关稳压电路 降压输出5V 负压输出-5V

1. 计算参数。 方法:依据MC34063 数据手册(datasheet)中,降压(step-down)和负压(Voltage-Inverting)部分提供的公式计算。 计算开关频率f和导通时间T ON:首先,依据选定的电容C T的值及其公式计算出T ON大小,之后根据T ON/T OFF比值公式计算出T OFF大小。T ON与T OFF之和为开关周期。计算得出开关频率大小。 通过反馈电阻R1,计算反馈电阻R2值。 已知确定R1,通过datasheet中提供的公式计算设定V OUT所需的电阻R2值。 并且调整好可调电阻大小。 计算最大输出电流I OUT(max) 2. 搭建电路。 3. 测试参数 A: 输出电压V OUT 电压表直接测量输出端的电压,并记录。 B:输出纹波 输入电压V IN=25V,负载电阻100Ω时,通过示波器AC档测试V OUT波形,读取纹波大小。 C: 开关频率f和导通时间T ON 输入电压V IN=25V,负载电阻100Ω时,测量开关节点引脚2的波形频率。 高电平时间为导通时间T ON。 D: 负载调整率 输入电压V IN=25V,在输出负载上串联电流表,接入V OUT端,调节负载电阻100Ω和50Ω变化。记录两个负载下输出电压值,计算负载调整率。 E:线性调整率 输入电压V IN在15V到25V变化,负载电阻100Ω时,记录输出电压变化值,计算线性调整率。 F:效率 输入电压V IN=25V,负载电阻100Ω时效率。 G:短路电流 输出负载0.1ohm,串联电流表,接入V OUT端,记录此时的输出电流值。b.实验2:LM7805线性降压电路

数电实验报告:实验4-计数器及应用161

广东海洋大学学生实验报告书(学生用表) 实验名称 课程名称 课程号 学院(系) 专业 班级 学生姓名 学号 实验地点 实验日期 实验4 计数器及其应用 一、实验目的 1、熟悉中规模集成计数器的逻辑功能及使用方法 2、掌握用74LS161构成计数器的方法 3、熟悉中规模集成计数器应用 二、实验原理 计数器是典型的时序逻辑电路,它是用来累计和记忆输入脉冲的个数.计数是数字系统中很重要的基本操作,集成计数器是最广泛应用的逻辑部件之一。计数器种类较多,按构成计数器中的多触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器;根据计数制的不同,可分为二进制计数器、十进制计数器和任意进制计数器;根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等。本实验主要研究中规模十进制计数器74LS161的功能及应用。 1、中规模集成计数器 74LS161 是四位二进制可预置同步计数器,由于它采用4 个主从JK 触发器作为记忆单元,故又称为四位二进制同步计数器,其集成芯片管脚如图1所示: 管脚符号说明:电源正端Vcc ,接+5V ;异步置零(复位)端Rd ;时钟脉冲CP ;预置数控制端 A 、B 、C 、D ;数据输出端 QA 、QB 、QC 、QD ;进位输出端 RCO :使能端EP ,ET ;预置端 LD ; 图1 74LS161 管脚图 GDOU-B-11-112

该计数器由于内部采用了快速进位电路,所以具有较高的计数速度。各触发器翻转是靠时钟脉冲信号的正跳变上升沿来完成的。时钟脉冲每正跳变一次,计数器内各触发器就同时翻转一次,74LS161的功能表如表1所示: 表1 74LS161 逻辑功能表 2、实现任意进制计数器 由于74LS161的计数容量为16,即计16个脉冲,发生一次进位,所以可以用它构成16进制以内的各进制计数器,实现的方法有两种:置零法(复位法)和置数法(置位法)。 (1) 用复位法获得任意进制计数器假定已有N进制计数器,而需要得到一个M进制计数器时,只要M<N,用复位法使计数器计数到M时置“0”,即获得M进制计数器。 (2) 利用预置功能获M进制计数器置位法与置零法不同,它是通过给计数器重复置入某个数值的的跳越N-M个状态,从而获得M进制计数器的,如图所法。置数操作可以在电路的任何一个状态下进行。这种方法适用于有预置功能的计数器电路。图2是上述二种方法的原理示意图。 图2(a) 图2(b) 三、实验内容与步骤 1、测试74LS161的逻辑功能。 2、在熟悉74LS161逻辑功能的基础上,利用74LS161设计9进制计数器。 附图74ls00和74ls20

模电实验

模拟电子技术实验第十一次实验 波形发生电路 实验报告 2016.12.22 . .

. . 一、 实验目的 1、 学习用集成运放构成正弦波、方波和三角波。 2、 学会波形发生电路的调整和主要性能指标的测试方法。 二、 实验原理 由集成运放构成的正弦波、方波和三角波发生电路有多种形式,本实验采用 最常用且比较简单的几种电路来做分析。 1、 RC 桥式正弦波振荡电路 下图所示为RC 桥式正弦波振荡电路。其中RC 串并联电路构成正反馈支路, 同时起到选频网络的作用。R1、R2、Rw 及二极管等元件构成负反馈和稳幅环节。调节电位器Rw ,可以改变负反馈深度,以满足振荡的振幅条件和改善波形。利用两个反向并联二极管D1、D2正向电阻的非线性特性来实现稳幅。D1、D2采用硅管(温度稳定性好),且要求特性匹配,才能保持输出波形正、负半周对称。R3的接入是为了削弱二极管非线性的影响,以改善波形失真。 电路的振荡频率:12o f RC π= 起振的幅值条件:12f R R ≥ (具体推导见书第406页) 其中23(//)f w D R R R R r =++,D r 是二极管正向导通电阻 调整反馈电阻Rf (调Rw ),使电路起振,且波形失真最小。如不能起振,则

. . 说明负反馈太强,应当适当加大Rw ;如波形失真严重,则应当适当减小Rw 。 改变选频网络的参数C 或R ,即可调节振荡频率。一般采用改变电容C 作频率量程切换,而调节R 作量程的频率细调。 2、 方波发生电路 由集成运放构成的方波发生电路和三角波发生电路,一般均包括比较电路和 RC 积分电路两大部分。下图所示为由迟滞比较器及简单RC 积分电路组成的方波-三角波发生电路。它的特点是线路简单,但三角波的线性度较差。主要用于产生方波,或对三角波要求不高的场合。 电路振荡频率:211 22ln(1)o f f f R R C R =+ 式中11''w R R R =+,22'''w R R R =+ 方波输出幅值:om Z V V =± 三角波输出幅值:212 CM Z R V V R R =+ 调节电位器Rw (即改变R2/R1,),可以改变振荡频率,但三角波的幅值也随之变化。如要互不影响,则可以通过改变Rf 或Cf 来实现振荡频率的调节。 3、 三角波和方波发生电路 如把迟滞比较电路和积分电路首尾相接形成正反馈闭环系统,如下图所示, 则比较电路A1输出的方波经积分电路A2积分可以得到三角波,三角波又触发比较器自动翻转形成方波,这样既可构成三角波、方波发生电路。

西工大,西电 孙肖子版 模电 第五章 连续系统复频域分析--答案

五章 习 题 5.1 求下列各时间函数()t f 的像函数()s F 。 (1) ()() ()t U e t f at --=1 (2) ()()()t U t t f φω+=sin (3) ()()()t U at e t f at -=-1 (4) ()() ()t U e a t f at --= 11 (5) ()()t U t t f 2 = (6) ()()()()t t U t t f δ32++= (7) ()()t tU t t f ωcos = (8) ()()()t U at e t f at 1-+=- 答案 5.2 求下列各像函数()s F 的原函数()t f 。 (1) ()()()()()4231++++=s s s s s s F (2) ()()() 126516 222++++=s s s s s F (3) ()2399222++++=s s s s s F (4) ()()s s s s s F 2323 ++= 答案 (1) 42)(3 21++++= s K s K s K s F

83 )4)(2()3)(1(01= ?++++= =s s s s s s s K 41 )2()4)(2()3)(1(2 2= +++++= -=s s s s s s s K 83 )4()4)(2()3)(1(4 3= +++++= -=s s s s s s s K 48 3241 83)(++++=s s s s F ) ()83 4183()(42*t U e e t f t t -++= (2) 1245 152 393425121232)(3 21+++- ++=+++++=s s s s K s K s K s F ) ()45152934512()(1232t U e e e t f t t t ---+-= (3) 21 122)2)(1(532)(++ ++=++++ =s s s s s s F )()2()(2)(2t U e e t t f t t --++=δ (4) 24111)2)(1(23123)(22+- ++=+++-=++=s s s s s s s s s F )()4()()(2t U e e t t f t t ---+=δ

西工大-数电实验-第二次实验-实验报告

数电实验2 一.实验目的 1.学习并掌握硬件描述语言(VHDL 或 Verilog HDL);熟悉门电路的逻辑功能,并用硬件描述语言实现门电路的设计。 2.熟悉中规模器件译码器的逻辑功能,用硬件描述语言实现其设计。 3.熟悉时序电路计数器的逻辑功能,用硬件描述语言实现其设计。 4.熟悉分频电路的逻辑功能,并用硬件描述语言实现其设计。 二.实验设备 1.Quartus开发环境 2.ED0开发板 三.实验内容 要求1:编写一个异或门逻辑电路,编译程序如下。 1)用 QuartusII 波形仿真验证; 2)下载到DE0 开发板验证。 要求2:编写一个将二进制码转换成 0-F 的七段码译码器。 1)用 QuartusII 波形仿真验证; 2)下载到 DE0 开发板,利用开发板上的数码管验证。 要求3:编写一个计数器。 1)用QuartusII 波形仿真验证; 2)下载到 DE0 开发板验证。 要求4:编写一个能实现占空比 50%的 5M 和50M 分频器即两个输出,输出信号频率分别为 10Hz 和 1Hz。 1)下载到 DE0 开发板验证。(提示:利用 DE0 板上已有的 50M 晶振作为输入信号,通过开发板上两个的 LED 灯观察输出信号)。 2)电路框图如下: 扩展内容:利用已经实现的 VHDL 模块文件,采用原理图方法,实现 0-F 计数自动循环显示,频率 10Hz。(提示:如何将 VHDL 模块文件在逻辑原理图中应用,参考参考内容 5) 四.实验原理 1.实验1实现异或门逻辑电路,VHDL源代码如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;

西工大,西电孙肖子版模电第九章状态变量法--答案.

连云港南区220kV 变电站工程 安全通病防治措施 连云港南区220kV 变电站施工项目部 2015年04月 审批页 批准:钮永华 2015 年 04 月 28 日 审核: 2015 年 04 月 27 日 年月日 编写:顾海荣 2015 年 04 月 25 日 年月日 1、概述 1.1 编制目的 为贯彻落实“安全第一、预防为主、综合治理”的方针,进一步提高连云港南区220kV 变电站工程安全管理水平,项目部总结了当前输变电工程建设中常见的、频发的安全违章现象,依据国家及行业有关工程建设安全管理制度标准及《输变电工程施工现场安全通病及防治措施(2010年版)》,特制定连云港南区220kV 变电站工程安全通病防治措施。 1.2 编制依据 (1 《国家电网公司输变电工程安全文明施工标准化管理办法》国网(基建/3)187-2015 (2 《国家电网公司基建安全管理规定》国网(基建/2)173-2015 (3 国家电网公司《输变电工程施工安全管理及风险控制方案编制纲要(试行)》基建安质〔2013〕 42 号

(4《国家电网公司输变电工程施工现场安全通病及防治措施(2010 年版)》基建安全〔2010〕 270 号 (5《江苏省电力公司输变电工程安全文明施工标准(试行)》 (苏电建〔2009〕351号 (6)《国家电网公司施工项目部标准化工作手册》 (2014年版 1.3 工程简介 本工程属新建220kV 变电站工程,施工范围:主控通讯室、10kV 配电装置室建筑工程、土石方、道路、围墙及大门、电缆沟、构支架基础、检查井、排水管、事故油池、雨水泵站、室外给水、室内给排水、通风空调、照明动力、避雷接地、桩基、进所道路、站内绿化等。 参建单位 (1)业主单位:江苏省电力公司 (2)建设单位:江苏省电力公司电力经济技术研究院(3)设计单位:江苏科能电力工程咨询有限公司(4)监理单位:江苏兴力工程建设监理咨询有限公司(5)施工单位:江苏省送变电公司 本工程计划开工日期:2015年5月;计划竣工日期:2016年6月。 1.4安全目标 (一)不发生六级及以上人身事件。 (二)不发生因工程建设引起的六级及以上电网及设备事件。(三)不发生六级及以上施工机械设备事件。(四)不发生火灾事故。(五)不发生环境污染事件。 (六)不发生负主要责任的一般交通事故。(七)不发生五级及以上基建信息安全事件

数电实验报告1-数电实验报告实验一

实验一门电路逻辑功能及测试 一、实验目得 1、熟悉门电路逻辑功能。 2、熟悉数字电路学习机及示波器使用方法。 二、实验仪器及材料 1、双踪示波器 2、器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86二输入端四异或门1片 74LS04 六反相器1片 三、预习要求 1、复习门电路工作原理相应逻辑表达示。 2、熟悉所有集成电路得引线位置及各引线用途。 3、了解双踪示波器使用方法。 四、实验内容 实验前按学习机使用说明先检查学习机就是否正常,然后选择实验用得集成电路,按自己设计得实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电。试验中改动接线须先断开电源,接好线后在通电实验。 1、测试门电路逻辑功能。 (1)选用双输入与非门74LS20一只,插入面包板,按图 连接电路,输入端接S1~S4(电平开关输入插口),输 出端接电平显示发光二极管(D1~D8任意一个)。 (2)将电平开关按表1、1置位,分别测出电压及逻辑状态。(表1、1)

2、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A﹑B﹑Y接电平显示发光二极管。 (2)将电平开关按表1、2置位,将结果填入表中。 表1、2 3、逻辑电路得逻辑关系

(1)选用四二输入与非门74LS00一只,插入面包板,实验电路自拟。将输入输出逻辑关系分 别填入表1、3﹑表1、4。 (2)写出上面两个电路得逻辑表达式。 表1、3 Y=A ⊕B 表1、4 Y=A ⊕B Z=AB 4、逻辑门传输延迟时间得测量 用六反相器(非门)按图1、5接线,输80KHz 连续脉冲,用双踪示波器测输入,输出相位差,计算每个门得平均传输延迟时间得tpd 值 : tpd =0、2μs/6=1/30μs 5、利用与非门控制输出。 选用四二输入与非门74LS00一只,插入面包板,输入接任一电平开关,用示波器观察S对输出脉冲得控制作用: 一端接高有效得脉冲信号,另一端接控制信号。只有控制信号端为高电平时,脉冲信号才能通过。这就就是与非门对脉冲得控制作用。 6.用与非门组成其她门电路并测试验证 (1)组成或非门。 用一片二输入端与非门组成或非门 Y = A+ B = A ? B 画出电路图,测试并填表1、5 中。 表1、5 图如下: (2)组成异或门 ① 将异或门表达式转化为与非门表达式。 A ⊕B={[(AA)'B]'[A( B B)']}' ② 画出逻辑电路图。 ③ 测试并填表1、6。表1、6

哈工大天线原理实验报告

Harbin Institute of Technology 天线原理实验报告 课程名称:天线原理 院系:电信学院 班级: 姓名: 学号: 指导教师: 实验时间: 实验成绩: 哈尔滨工业大学 一、实验目的 1.掌握喇叭天线的原理。

2.掌握天线方向图等电参数的意义。 3.掌握天线测试方法。 二、实验原理 1.天线电参数 (1).发射天线电参数: a.方向图:天线的辐射电磁场在固定距离上随空间角坐标分布的图形。 b.方向性系数:在相同辐射功率,相同距离情况下,天线在该方向上的辐射功率密度Smax与无方向性天线在该方向上的辐射功率密度S0之比值。 c.有效长度:在保持该天线最大辐射场强不变的条件下,假设天线上的电流均匀分布时的等效长度。 d.天线效率:表征天线将高频电流或导波能量转换为无线电波能量的有效程度。 e.天线增益:在相同输入功率、相同距离条件下,天线在最大辐射方向上的功率密度Smax与无方向性天线在该方向上的功率密度S0之比值。 f.输入阻抗:天线输入端呈现的阻抗值。 g.极化:天线的极化是指该天线在给定空间方向上远区无线电波的极化。 h.频带宽度:天线电参数保持在规定的技术要求范围内的工作频率范围。 (2).接收天线电参数: 除了上述参数以外,接收天线还有一些特有的电参数:等效面积和等效噪声温度。 a.等效面积:天线的极化与来波极化匹配,且负载与天线阻抗共轭匹配的最佳状态下,天线在该方向上所接收的功率与入射电波功率密度之比。 b.等效噪声温度:描述天线向接收机输送噪声功率的参数。 2.喇叭天线 由逐渐张开的波导构成,是一种应用广泛的微波天线。按口径形状可分为矩形喇叭天线与圆形喇 叭天线等。波导终端开口原则上可构成波导辐射器,由于口径尺寸小,产生的波束过宽;另外, 波导终端尺寸的突变除产生高次模外,反射较大,与波导匹配不良。为改善这种情况,可使波导 尺寸加大,以便减少反射,又可在较大口径上使波束变窄。 (1).H面扇形喇叭:若保持矩形波导窄边尺寸不变,逐渐张开宽边可得H面扇形喇叭。

西工大模电实验报告总结计划晶体管单级放大器.docx

实验一晶体管共射极单管放大器 一、实验目的 1、掌握用 multisim仿真软件分析单级放大器主要性能指标的方法。 2、掌握晶体管放大器静态工作点的调试和调整方法,观察静态工作点对放 大器输出波形的影响。 3、测量放大器的放大倍数、输入电阻和输出电阻。 二、实验原理 实验电路如图 2.1 -1 所示,采用基极固定分压式偏置电路。电路在接通直流电源 V cc而未加入信号( V i =0)时,三极管三个极电压和电流称为静态工作点, 即 图2.1 -1 晶体管单级放大器 V BQ=R2V CC/(R 2+R3+R7) I CQ=I EQ=(V BQ-V BEQ)/R 4 I BQ=I EQ/ β V CEQ= V CC-I CQ( R5+R4) 1、放大器静态工作点的选择和测量 放大器的基本任务是不失真的放大小信号。为了获得最大不失真输出电压, 静态工作点应选在输出特性曲线上交流负载线的中点。若工作点选的太高,则容易引起饱和失真;而选的太低,又易引起截止失真。 静态工作点的测量是指在接通电源电压后放大器输入端不加信号时,测量晶

体管的集电极电流I CQ和管压 降 V CEQ。其中V CEQ可直接用万用表直流电压档测C-E 极间的电压既得,而I CQ的测量则有直接法和间接法两种: (1)直接法:将万用表电流档串入集电极电路直接测量。此法精度高,但 要断开集电极回路,比较麻烦。 ( 2)间接法:用万用表直流电压档先测出R5上的压降,然后根据已知R5算出I CQ,此法简单,在实验中常用,但其测量精度差。为了减小测量误差,应选用内 阻较高的电压表。 当按照上述要求搭好电路,在输入端引入正弦信号,用示波器观察输出。静态工作点具体的调节步骤如下: 现象出现截止失真动作减小 R 出现饱和失真 增大 R 两种失真都出 现 减小输入信号 无失真 加大输入信号 根据示波器上观察到的现象,做出不同的调整动作,反复进行。当加大输入信号,两种失真都出现,减小输入信号,两种失真同时消失,可以认为此时的静态工作点正好处于交流负载线的中点,就是最佳的静态工作点。去掉输入信号,测量此时的 V CQ, 就得到了静态工作点。 2.电压放大倍数的测量 Ui 输出电压 Uo 之比 电压放大倍数是指放大器的输入电压 Au=Uo/Ui(2.1-5) 用示波器分别测出 Uo 和 Ui ,便可按式( 2.1-5)求得放大倍数,电压放大倍数与负载 Rl 有关。 3.输入电阻和输出电阻的测量 ( 1)输入电阻 Ri 用电流电压法测得,电路如图电阻 R=1kΩ,用示波器分别测出电阻两端电压 2.1-3 所示。在输入回路中串接Ui 和 Us,则可求得输入电阻Ri 为 Ri=Ui/Ri=Ui×R/(Us-Ui )(2.1-6)

数电实验实验报告

数字电路实验报告

实验一 组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路 74LS20集成电路 四2输入与非门 双4输入与非门 二.实验内容 1.实验一 自拟表格并记录: 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD 是什么? X1 2.5 V A B C D 示灯:灯亮表示“1”,灯灭表示“0” ABCD 按逻辑开关,“1”表示高电平,“0”表示低电平

ABCD 接逻辑电平开关。 最简表达式为:X1=AB ’C ’D 密码为: 1001 A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片 ,和使用仿真软件来设计和构造逻辑电路来求解。 实验二 组合逻辑实验(一) 半加器和全加器 一.实验目的 1. 熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1. 复习用门电路设计组合逻辑电路的原理和方法步骤。 2. 复习二进制数的运算。 3. 用“与非门”设计半加器的逻辑图。 4. 完成用“异或门”、“与或非”门、“与 非”门设计全加器的逻辑图。 5. 完成用“异或”门设计的3变量判奇 电路的原理图。 三.元 件参考 U1A 74LS00D U1B 74LS00D U1C 74LS00D U1D 74LS00D U2A 74LS00D U2B 74LS00D U2C 74LS00D U3A 74LS20D X1 2.5 V X2 2.5 V VCC 5V A B C D

数电实验实验报告(Quartus)数码管循环显示

实验10 1、结果:同时显示012345 代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY sweep IS PORT( clk,clr:IN STD_LOGIC; --clk输入时钟;clr状态清零 a:OUT STD_LOGIC_VECTOR (6 DOWNTO 0); --输出数码管相同段 sweep:OUT STD_LOGIC_VECTOR (5 DOWNTO 0) --输出cat(数码管选通控制信号)端); END sweep; ARCHITECTURE sweep_arch OF sweep IS SIGNAL sweep_arc:STD_LOGIC_VECTOR (5 DOWNTO 0); --声明内部信号(选通控制信号)SIGNAL b:STD_LOGIC_VECTOR (6 DOWNTO 0); --声明内部信号(相同段信号) BEGIN PROCESS(sweep_arc) BEGIN IF (clk'event and clk='1') THEN IF clr='0' THEN --状态清零 sweep_arc <="011111" ; b <="0000000"; ELSE case sweep_arc IS --选通控制信号 WHEN"011111" => sweep_arc <="111110"; WHEN"111110" => sweep_arc <="111101"; WHEN"111101" => sweep_arc <="111011"; WHEN"111011" => sweep_arc <="110111"; WHEN"110111" => sweep_arc <="101111"; WHEN"101111" => sweep_arc <="011111"; WHEN OTHERS => sweep_arc <="011111"; END CASE; CASE sweep_arc IS --相同段信号 WHEN"011111" => b <="1011011"; WHEN"101111" => b <="0110011"; WHEN"110111" => b <="1111001"; WHEN"111011" => b <="1101101"; WHEN"111101" => b <="0110000"; WHEN"111110" => b <="1111110";

数电实验报告

班级:姓名: 学号: 实验报告(一)TTL集成逻辑门的逻辑功能与参数测试1.测试TTL集成与非门74LS20的逻辑功能,测试结果记录如下表: 输入输出 An Bn Cn Dn Yn 1 1 1 1 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 0 2. 74LS20主要参数的测试 I CCL (mA) I CCH (mA) I il (mA) I OL (mA) N O= iL OL I I 3. 电压传输特性测试 V i(V) 0 0.4 0.7 0.9 1.0 1.1 1.2 1.3 1.4 2.0 3.0 4.0 … V O(V) 4.画出实测的电压传输特性曲线,并从中读出各有关参数值。

实验报告(二)CMOS 电路 1.用所给的集成电路(CD4007)实现F=ABC ,将实验结果填入真值表中,并测出高、低电平(真值表自拟,测试步骤自拟)。 2. 用所给的集成电路实现F=C B A ++(真值表自拟,测试步骤自拟)。 3. 用所给的集成电路,构成图2-2反相器。 (a )测最大灌电流I OL (V OL =0.1V ,接通图2-2中的虚线框①)。 (b )测最大拉电流I OH (V OH =4.9V,断开虚线框①,接通虚线框②。 4. 构成如图2-3所示的反相器,测最大灌电流I OL 。

实验报告(三)组合逻辑电路实验分析与设计(1) 写出由与非门组成的半加器电路的逻辑表达式 (2) 根据表达式列出真值表,并画出卡诺图判断能否简化 A B Z1 Z2 Z3 S C 0 0 0 1 1 0 1 1 实验: 1.测试由与非门组成的半加器电路的逻辑功能 A B S C 0 0 0 1 1 0 1 1 2.测试用异或门74LS86和与非门74LS00组成的半加器的逻辑功能 A B S C 0 0 0 1 1 0 1 1

西工大模电实验报告 晶体管单级放大器

一、实验目的 1、掌握用multisim仿真软件分析单级放大器主要性能指标的方法。 2、掌握晶体管放大器静态工作点的调试和调整方法,观察静态工作点对放大器输出波形的影响。 3、测量放大器的放大倍数、输入电阻和输出电阻。 二、实验原理 实验电路如图-1所示,采用基极固定分压式偏置电路。电路在接通直流电 源V cc 而未加入信号(V i =0)时,三极管三个极电压和电流称为静态工作点,即 图-1 晶体管单级放大器 V BQ =R 2 V CC /(R 2 +R 3 +R 7 ) I CQ =I EQ =(V BQ -V BEQ) /R 4 I BQ =I EQ /β V CEQ =V CC -I CQ (R 5 +R 4 ) 1、放大器静态工作点的选择和测量 放大器的基本任务是不失真的放大小信号。为了获得最大不失真输出电压,静态工作点应选在输出特性曲线上交流负载线的中点。若工作点选的太高,则容易引起饱和失真;而选的太低,又易引起截止失真。 静态工作点的测量是指在接通电源电压后放大器输入端不加信号时,测量晶 体管的集电极电流I CQ 和管压降V CEQ 。其中V CEQ 可直接用万用表直流电压档测C-E 极间的电压既得,而I CQ 的测量则有直接法和间接法两种: (1)直接法:将万用表电流档串入集电极电路直接测量。此法精度高,但要断开集电极回路,比较麻烦。 (2)间接法:用万用表直流电压档先测出R 5上的压降,然后根据已知R 5 算出 I CQ ,此法简单,在实验中常用,但其测量精度差。为了减小测量误差,应选用内阻较高的电压表。 当按照上述要求搭好电路,在输入端引入正弦信号,用示波器观察输出。静态工作点具体的调节步骤如下:

数电实验报告之集成触发器

数字逻辑与数字系统设计实验报告 ——D、JK触发器与广告流水灯异步时序电路 VHDL语言仿真 学院电子工程学院 班级卓越001012班 学号00101201 姓名冉艳伟 实验时间2012.4.20

一.实验目的 1.了解集成触发器的工作原理。 2.对Quartus II 软件使用操作有初步的了解,能用该软件进行简单的VHDL语言编程与功能仿真 3、掌握VHDL设计实体的基本结构及文字规则。 二.实验仪器 1.计算机一台 2.万用表一块 3.直流稳压电源一台 4.数字电路实验板一台(含cyclone—II FPGA芯片) 5.数据下载线,JTAG连接线若干 三.实验内容 用VHDL代码输入的方法设计以下三个电路功能,并进行全程编译,执行功能和时序仿真。 1.用VHDL语言描述D触发器功能。 2.用VHDL语言描述JK触发器功能。 3.用VHDL语言描述以下功能: 用双D触发器74LS74和与非门74LS00设计一个广告流水灯同步时序电路,广告流水灯有四个灯,这四个灯始终是一暗三明且暗灯循环右移,其状态图如图5-11所示,图中¤表示灯亮,◎表示灯暗。

四.实验数据记录与处理 1. D触发器 1)VHDL语言 library ieee; use ieee.std_logic_1164.all; entity Dflipflop is port(D,clock :in std_logic; Q :out std_logic); end Dflipflop; architecture behavior of Dflipflop is begin Process (clock) begin if clock'event and clock='1' then Q<=D; end if; end process; end behavior;

哈工大数学实验实验报告

实验一 2(1)(a) 程序语句: a=[-3 5 0 8;1 -8 2 -1;0 -5 9 3;-7 0 -4 5]; b=[0;2;-1;6]; inv(a)*b (b) 程序语句: a=[-3 5 0 8;1 -8 2 -1;0 -5 9 3;-7 0 -4 5]; b=[0;2;-1;6]; a\b (2)

4个矩阵的生成语句: e=eye(3,3); r=rand(3,2); o=zeros(2,3); s=diag([1,2]);%此为一个任取的2X2 矩阵 矩阵a 的生成语句: a=[e r;o s] 验证语句: a^2 b=[e r+r*s; o s^2]

(3)(a) 生成多项式的语句:poly ([2,-3,1+2i,1-2i,0,-6]) (b) 计算x=0.8,-x=-1.2 之值的指令与结果: 指令:polyval([1,5,-9,-1,72,-180,0],0.8) 指令:polyval([1,5,-9,-1,72,-180,0],-1.2)

(4) 求a的指令与结果:指令:a=compan([1,0,-6,3,-8]) 求a的特征值的指令与结果:指令:eig(a) roots(p)的指令与结果为: 指令:roots([1,0,-6,3,-8])

结论:利用友元阵函数a=company(p) 和eig(a) 可以与roots(p)有相同的作用,结果相同。 (5) 作图指令: x=0:0.01:1.5; y=[x.^2;x.^3;x.^4;x.^5]; plot (x,y) 作图指令: x=0:0.01:10; y1=x.^2; y2=x.^3; y3=x.^4; y4=x.^5; subplot(2,2,1),plot (x,y1),title('x^2') subplot(2,2,2),plot (x,y2),title('x^3') subplot(2,2,3),plot (x,y3),title('x^4') subplot(2,2,4),plot (x,y4),title('x^5')

西北工业大学模电实验报告

西北工业大学 模拟电子技术仿真与实验报告册

目录 2.1晶体管共射极单管放大器 (3) 一、实验目的 (3) 二、实验原理 (3) 三、实验步骤 (5) 四、实验结果 (8) 2.5 多级负反馈放大器的研究 (10) 一、实验目的 (10) 二、实验原理及电路 (11) 三、实验内容 (13) 四、实验结果 (14) 2.7集成运算放大器的基本应用 (15) 一、实验目的 (15) 二、实验原理 (15) 三、实验内容 (17) 2.8 RC文氏电桥振荡器 (18) 一、实验目的 (18) 二、实验原理 (18) 三、实验内容 (19) 四、实验结果 (21) fo理论值为1.591kHZ (23) 2.10 矩形波发生器 (24) 一、实验目的 (24) 二、实验原理及电路 (24) 三、实验内容 (27) 四、实验结果 (28) 4.3温度控制电路的设计 (29) 一、实验目的 (29) 二、设计指标与要求 (29)

三、实验原理 (29) 4.3 温度控制电路................................................................................... 错误!未定义书签。 一、实验目的 ........................................................................................ 错误!未定义书签。 二、实验原理 ........................................................................................ 错误!未定义书签。 三、实验内容 ........................................................................................ 错误!未定义书签。 四、实验结果 ........................................................................................ 错误!未定义书签。 四、实验思考与讨论 ............................................................................ 错误!未定义书签。 2.1晶体管共射极单管放大器 一、实验目的 1、掌握用multisim仿真软件分析单级放大器主要性能指标的方法。 2、掌握晶体管放大器静态工作点的调试和调整方法,观察静态工作点对放大器输出波形的影响。 3、测量放大器的放大倍数、输入电阻和输出电阻。 二、实验原理 实验电路如图2.1-1所示,采用基极固定分压式偏置电路。电路在接通直 流电源V cc 而未加入信号(V i =0)时,三极管三个极电压和电流称为静态工作点, 即

相关文档
相关文档 最新文档