文档库 最新最全的文档下载
当前位置:文档库 › 计算机组成原理全-总结 (6)

计算机组成原理全-总结 (6)

计算机组成原理全-总结 (6)
计算机组成原理全-总结 (6)

科学研究和工程技术计算是计算机最早的领域。

信息处理是计算机应用的最广泛的领域。

计算机系统分为硬件和软件两大部分。硬件是实体

部件,是看得见摸得着

的。软件是管理计算机

所编制的所有程序及

文档的总和。

冯诺依曼思想:a 计算机工作采用存储程序和程序

控制方式(核心)。B

计算机内部采用二进

制c计算机由运算器、

控制器、存储器、输入

设备、输出设备组成。冯诺依曼计算机工作方式的基本特点是按地址访

问并顺序执行指令。

5运算器是计算机的运算、处理部件。核心是累加寄存器。存储器是用来存储程序和数据。分为内存储器和外

存储器,cpu直接访问

内存间接访问外存。控制器是计算机中发号施令的部件、控制所有其他

部件有条不紊的工作。输入(键盘和鼠标)输出(打印机)设备是获得外部信息与处理结果提供给外部世界。外存储器同时兼有输入输出功能。

计算机分四代:电子管计算机,晶体管计算机,集

成电路计算机,大规模

集成电路计算机。

高级语言与机器语言转换工具是编译系统或解释系统。cpu与存储器一起构成了计算机的主机。

计算机软件分为系统软件(如操作系统)和应用软件(如辅助设计CAD 、数据处理软件)。

定点数:定点表示法规定机器中所有数的小数点位置固定

不变。

定点整数:所有机器数的小数

点位置在最低位之后,符号位

任在最高位,其余的数值的有

效部分。定点整数是纯整数,

浮点数:小数点位置可以任意

移动通过移动小数点的位置,

任意一个二进制都可以表示

成阶码和尾码两部分。N=2E

(2的E次方)*S

E是阶码,是纯整数,S是尾

数,是纯小数。并且尾数要表

示成规格化形式,即尾数的绝

对值>=0.5

判断溢出的方法:单符号位检

测方法(双高法),变形补码

检测方法。

浮点运算:完成浮点加法和减

法运算,需要进行对阶·求和

(差)·规格化和舍人等步骤。

定点运算的构成:ALU·阵列

乘除器·寄存器组·多路开

关·三态缓冲器·数据总线

存储器:计算机系统中的存储

器件,用来存储程序和

数据

存储介质:构成存储器的物理

介质(存储元),它是

能存储二进制的0和1

两种代码的介质,存储

器最小的存储单位为

基本存储元(存储元)

存1位二进制,即0或

1,若干戈基本存储元

(8个)=一个存储单

元(1个字节),许多存

储单元组成一个存储

器。

存储器分类:(对其要求:容

量大,速度快,成本低,

一个存储器中同时兼

顾三方面很困难)

存储介质划分:半导体存储

器,磁表面存储器,光材料存

储器

存储器读写功能划分:可读写

存储器,只读存储器

存储方式划分:随机存取存储

器,顺序存取存储器

在计算机系统中作用:内存

(RAM:随机存取存储器\

ROM:只读存储器),外存

存储器系统:Chche(高速缓

冲存储器主要强调快

速存取,以便使存取速

度和CPU的运算速度

向匹配)

内存(cpu直接访问内存)

外存(硬盘,软盘,光盘,优

盘,速度慢,容量大,每位价格

低)

存储器的层次结构主要体现:

Chche—内存和内存—外存这

两个层次上

基本存储元:存储一位二进制

信息0或1,使组成存

储器的基础和核心

SRAM存储器:存储体地址

译码器读写控制电路

组成

存储体:基本存储元的集合

地址译码器:作用是选中某一

个基本存储元(是一个

输入输出系统),然后

再读写控制电路的配

合下进行读或写操作

读写控制电路:作用是完成已

被选中的基本存储元

的读写操作

DRAM存储器

为什么要刷新:应需要定时充

电,补充泄露的电荷

(动态比静态慢,因要

刷新)

SRAMM和dram比较:dram

的存储器的基本存储单元是

电容,需要定时充电刷新,要

有专门的刷新电路,它的存取

速度比较慢,集成度高,容量

大,功耗小,每位的价格

低;sram的存储器的基本存储

元是触发器,不用刷新,集成

度低,容量小,功耗大,每位

价格高,一般dram用作内存,

sram用作cache

内存的性能指标:主要是存储

容量(存储单元的总

数)存取时间(访问

时间)

程序局部性原理:程序和数据

在内存中的存放具有

局部性,想对于整个内

存的空间来说,程序和

数据连续的放在一起

是以小簇,程序内部的

运行也有局部性,程序

时顺序运行的,相邻的

指令时近期就要被

CPU访问的指令.

Cache: cache存储体,还有

cache控制器,可以和内存控

制器结合

Cpu与cache间数据交

换以字尾单位,cache与内存

间数据交换以块尾单位

指令就是指示计算机执行操

作的命令,机器语言程序就是

这些指令的组合。

指令格式就是指令用二进制

代码表示的结构格式,

一般可分为操作码和

操作数两部分

操作码:每一条指令都有一个

操作码,操作码表示该

指令应进行什么性质

的操作。指令是一串二

进制代码,不同的指令

其操作码部分的位数

和具体的编码都不同。

操作数:操作实数即操作的对

象。根据操作数的个

数,有无操作数指令、

单操作数指令、双操作

数指令、多操作数指

令。

双操作数指令的分类:

1)存储器—存储器型指令

2)寄存器—寄存器型指

令3)寄存器—存储器型

指令4)多操数指令

指令字长度:一条指令是一串二进制代码,称为指令字。一个指令字中包含的二进制代码的位数称为指令字长度。指令助记符:计算机只能识别、执行二进制的机器语言。因此,汇编语言必须转换成相应的二进制指令。

RISC技术:精简指令系统计算机简称RISC,即其指令系统是简单的,与其对应的是复杂指令系统计算机,简称为CISC。

CISC存在的问题(1)指令系统庞大(2)大部分指

令使用率很低

4.2指令的寻址方式:顺序寻

址方式。跳跃寻址方

式:当执行到程序中的

转移指令时,指令的寻

址就采取跳跃寻址方

式。

操作数的寻址方式

1)指令中的操作数部分就是操作数本身,该操作数称为立即数

2)操作数在CPU中的通用寄存器或累加器中。

3)操作数在内存单元中

堆栈寻址方式

堆栈是一种数据结构,有“先进后出”的特点。通常

把内存的一部分用作

堆栈,即存储器堆栈,

数据的进栈出栈操作

只能在栈顶进行。

中央处理器CPU(Central Processing Unit)是计

算机系统的核心,主要

包括运算器和控制器

两个部件。

它有四方面的功能:1指令控制2.操作控制3.时间控

制4.数据加工

传统的CPU由运算器和控制器组成现代的cpu由运

算器.控制器.cache组

cpu有六种寄存器1.数据缓冲

寄存器它用来暂时存

放从内存读出的一条

指令包括(1.)作为

cpu与内存.输入/输出

设备之间信息传送的

中转站。(2. ).补偿

cpu与内存.输入/输出

设备之间在操作速度

上的差别。2.指令寄存

器3.程序寄存器4.地址

缓冲寄存器 5.累加寄

存器 5.状态条间寄存

指令周期:CPU从主存中取出

一条指令到执行完这

条指令所需的时间。指

令周期常常用CPU周

期数来表示。

微程序控制的基本思想

微程序设计技术是利用软

件方法来设计硬件的一门技

术。是仿照通常解题程序的

方法,把操作控制信号编成所

谓的‘微指令’,存放到一个只

读存储器(即控制存储器),

当机器运行时,一条接着一条

地读出这些微指令,从而产生

机器所需要的各种操作控制

信号,使相应部件执行所规定

的操作。

微命令控制部件通过控制线

向执行部件发出的各

种控制命令。

微操作执行部件接受微命令

后所进行的操作

在一个cpu周期中,一组实现

一定操作功能是我微

命令的组合,构成一条

微指令。它由操作控制

和顺序控制组成。

微程序控制器由控制存储器、

微指令寄存器和微指

令地址形成部件三部

分组成。对控制存储器

的要求是速度快。读出

周期要短。微指令寄存

器用来存放从控制存

储器中读出的一天微

指令。地址转移逻辑承

担修改为地址的任务。

早期的计算机采用的是串行

处理。

计算机的并行处理技术主要

有三种形式:时间并

行;空间并行;时间并

行+空间并行。

流水线中的相关问题:资源相

关冲突;数据相关冲

突;控制相关冲突

总线:是构成计算机的互联机

构,是多个系统功能部

件之间进行数据传递

的公共通路。

总线的特征:(1)机械特征:指

总线的机械连接方式(2)电气

特征:每一根线上信号的传送

方向及有效电平范围(3)功能

特征:描述总线中每一根线的

功能(4)时间特征:定义了每一

根线在什么时间内有效

行传送64为数据,总线时钟

频率升为133MHZ,总线带宽

是多少

总线的分类:内部总线、系统

总线(数据总线、地址

总线、控制总线)、通

信总线

硬盘容量=(512字节/扇区)*

(扇区/磁道)*(磁道

数/盘面)*盘面数

中央处理器和内存构成主机,

除主机外的硬件设备

都称为外部设备。

外部设备按功能可分为:输入

设备,输出设备,外存

设备,其他设备。

输入是计算机工作的起点,输

入设备将外部信息通过一定

转换后送入计算机。

常用的文字输入设备:键盘,

手写板,语音输入设备等。

坐标定位设备:鼠标,轨迹球,

操纵杆,触摸屏。

图像输出设备:扫描仪,数

码相机,数码摄像机,数码摄

像头。

输出设备将储存在计算机内

部的信息以各种形式反馈给

用户,主要包括:显示器,打

印机。

显示器包括:CRT显示器,液

晶显示器(即LCD),等离子

显示器,显示卡。

LCD可视角度:LCD的特有

指标,指在屏幕的一侧

仍可清晰看见屏幕影

像的最大角度,可视角

度越大越好,一般左右

对称。

打印机包括:针式打印机,喷

墨打印机,激光打印

机。

硬盘:工作原理:通过磁层的

磁化来储存信息。

主要组成:磁头,盘片,控制

电路。信息存储在盘片

上,由磁头负责读/写。

磁道:在盘片的盘面上划分的

一组同心的圆圈。扇

区:磁道上被划分成的

区域。

磁盘容量=(512字节/扇区)

×(扇区数/磁道)×(磁

道数/盘面)×盘面数

软驱的组成:盘片驱动系统,

磁头定位系统,数据读

写电路,状态监测系

统。

输入/输出系统(I/O系统)

硬件上包括I/O设备

及其与主机进行数据

交换的I/O接口。

按I/O设备速度不同,联络

方式有:无条件传送方

式,异步方式,同步方

式。I/O设备通过I/

O接口与主机交换信

息时,控制方式有:查

询方式,中断方式,

DMA方式,其他方式(包括通道方式,外围处理机方式,网络方式)。

DMA方式的基本思想:在I/O设备和内存之间开辟直接的数据传送通道。

计算机组成原理第六章答案

第6章 计算机的运算方法 2. 已知X=0.a1a2a3a4a5a6(ai 为0或1),讨论下列几种情况时ai 各取何值。 (1)2 1 X > (2)8 1X ≥ (3) 16 1 X 41> ≥ 解: (1)若要2 1 X > ,只要a1=1,a2~a6不全为0即可。 (2)若要8 1 X ≥,只要a1~a3不全为0即可。 (3)若要 16 1X 41>≥,只要a1=0,a2可任取0或1; 当a2=0时,若a3=0,则必须a4=1,且a5、a6不全为0; 若a3=1,则a4~a6可任取0或1; 当a2=1时, a3~a6均取0。 3. 设x 为整数,[x]补=1,x1x2x3x4x5,若要求 x < -16,试问 x1~x5 应取何值? 解:若要x < -16,需 x1=0,x2~x5 任意。(注:负数绝对值大的补码码值反而小。) 4. 设机器数字长为8位(含1位符号位在内),写出对应下列各真值的原码、补码和反码。 -13/64,29/128,100,-87 解:真值与不同机器码对应关系如下: 5. 已知[x]补,求[x]原和x 。 [x1]补=1.1100; [x2]补=1.1001; [x3]补=0.1110; [x4]补=1.0000; [x5]补=1,0101; [x6]补=1,1100; [x7]补=0,0111; [x8]补=1,0000; 解:[x]补与[x]原、x 的对应关系如下: 6. 设机器数字长为8位(含1位符号位在内),分整数和小数两种情况讨论真值x 为何值时,[x]补=[x]原成立。 解:当x 为小数时,若x ≥ 0,则 [x]补=[x]原成立; 若x < 0,当x= -1/2时,[x]补=[x]原=1.100 0000,则 [x]补=[x]原成立。 当x 为整数时,若x ≥0,则 [x]补=[x]原成立; 若x< 0,当x= -64时,[x]补=[x]原=1,100 0000,则 [x]补=[x]原成立。 7. 设x 为真值,x*为绝对值,说明[-x*]补=[-x]补能否成立。 解:当x 为真值,x*为绝对值时,[-x*]补=[-x]补不能成立。原因如下: (1)当x<0时,由于[-x*]补是一个负值,而[-x]补是一个正值,因此此时[-x*]补=[-x]补不成立; (2)当x ≥0时,由于-x*=-x ,因此此时 [-x*]补=[-x]补的结论成立。 8. 讨论若[x]补>[y]补,是否有x>y ?

计算机组成原理试题6

计算机组成原理试题6 一、选择题(共5分,每题1分) 1.某机字长8位,采用补码形式(其中1位为符号位),则机器数所能表示的范围是______。 A.-127 ~127; B.-128 ~+128; C.-128 ~+127; D.-128 ~+128。 2.在______的计算机系统中,外设可以和主存储器单元统一编址,因此可以不使用I/O 指令。 A.单总线; B.双总线; C.三总线; D.以上三种总线。 3.某计算机字长是32位,它的存储容量是64KB.按字编址,它的寻址范围是______。 A.16KB; B.16K; C.32K; D.32KB。 4.中断向量可提供______。 A.被选中设备的地址; B.传送数据的起始地址; C.中断服务程序入口地址; D.主程序的断点地址。 5.Cache的地址映象中比较多的采用“按内容寻址”的相联存储器来实现。 A.直接映象; B.全相联映象; C.组相联映象; D.以上都有。 6.总线的异步通信方式______。 A.不采用时钟信号,只采用握手信号; B.既采用时钟信号,又采用握手信号; C.既不采用时钟信号,又不采用握手信号; D.采用时钟信号,不采用握手信号。 7.在磁盘存储器中,查找时间是______。 A.使磁头移动到要找的柱面上所需的时间; B.在磁道上找到要找的扇区所需的时间; C.在扇区中找到要找的数据所需的时间。 D.以上都不对。 8.在控制器的控制信号中,相容的信号是______的信号。 A.可以相互替代; B.可以相继出现; C.可以同时出现;

D.不可以同时出现。 9.计算机操作的最小单位时间是______。 A.时钟周期; B.指令周期; C.CPU周期; D.执行周期。 10.CPU不包括______。 A.地址寄存器; B.指令寄存器IR; C.地址译码器; D.通用寄存器。 11.寻址便于处理数组问题。 A.间接寻址; B.变址寻址; C.相对寻址; D.立即寻址。 12.设寄存器内容为10000000,若它等于0,则为______。 A.原码; B.补码; C.反码; D.移码。 13.若一个8比特组成的字符至少需10个比特来传送,这是______传送方式。 A.同步; B.异步; C.并联; D.混合。 14.设机器字长为32位,存储容量为16MB,若按双字编址,其寻址范围是。(存储器4) A.8MB; B.2M; C.4M; D.16M。 15.寻址对于实现程序浮动提供了较好的支持。 A.间接寻址; B.变址寻址; C.相对寻址; D.直接寻址。 16.超标量技术是______。 A.缩短原来流水线的处理器周期; B.在每个时钟周期内同时并发多条指令; C.把多条能并行操作的指令组合成一条具有多个操作码字段的指令; D.以上都不对。 17.在控制器的控制方式中,机器周期内的时钟周期个数可以不相同,这属于______。 A.同步控制;

计算机组成原理实验

计算机组成原理 一、8 位算术逻辑运算 8 位算术逻辑运算实验目的 1、掌握简单运算器的数据传送通路组成原理。 2、验证算术逻辑运算功能发生器74LS181的组合功能。 8 位算术逻辑运算实验内容 1、实验原理 实验中所用的运算器数据通路如图3-1所示。其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,内部数据总线通过LZD0~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,实验时通过8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。 图中算术逻辑运算功能发生器74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M并行相连后连至SJ2插座,实验时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关LDDR1、LDDR2、ALUB、SWB来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、LDDR2为高电平有效。 另有信号T4为脉冲信号,在手动方式下进行实验时,只需将跳线器J23上T4与手动脉冲发生开关的输出端SD相连,按动手动脉冲开关,即可获得实验所需的单脉冲。 2、实验接线 本实验用到4个主要模块:⑴低8位运算器模块,⑵数据输入并显示模块,⑶数据总线显示模块,⑷功能开关模块(借用微地址输入模块)。

计算机组成原理第六章答案上课讲义

计算机组成原理第六 章答案

1. 写出下列各数的原码、反码、补码、移码(用8位二进制表示),其中MSB是最高位(符号位),LSB是最低位。如果是小数,则小数点在MSB之后;如果是整数,则小数点在LSB之后。 (1)-59/64 (2)27/128 (3)- 127/128 (4)用小数表示-1 (5)用整数表示-1 (6)- 127 (7)35 (8)-128 2. 设[x]补=x0.x1x2x3x4,其中x i取0或1,若要使x>-0.5,则x0、x1、x2、x3、x4的取值应满足什么条件? 3. 若32位定点小数的最高位为符号位,用补码表示,则所能表示的最大正数为,最小正数为,最大负数为,最小负数为;若32位定点整数的最高位为符号位,用原码表示,则所能表示的最大正数为,最小正数为,最大负数 为,最小负数为。 4. 若机器字长为32位,在浮点数据表示时阶符占1位,阶码值占7位,数符占1位,尾数值占23位,阶码用移码表示,尾数用原码表示,则该浮点数格式所能表示的最大正数为,最小正数为,最大负数 为,最小负数为。 5. 某机浮点数字长为18位,格式如图2.35所示,已知阶码(含阶符)用补码表示,尾数(含数符)用原码表示。 (1)将(-1027)10表示成规格化浮点数; (2)浮点数(0EF43)16是否是规格化浮点数?它所表示的真值是多少? 图2.35 浮点数的表示格式 6. 有一个字长为32位的浮点数,格式如图2.36所示,已知数符占1位;阶码占8位,用移码表示;尾数值占23位,尾数用补码表示。

图2.36 浮点数的表示格式 请写出: (1)所能表示的最大正数; (2)所能表示的最小负数; (3)规格化数所能表示的数的范围。 7. 若浮点数x的IEEE754标准的32位存储格式为(8FEFC000)16,求其浮点数的十进制数值。 8. 将数(-7.28125)10转换成IEEE754标准的32位浮点数的二进制存储格式。 9. 已知x=-0.x1x2…x n,求证:[x]补=+0.00…01。 10. 已知[x]补=1.x1x2x3x4x5x6,求证:[x]原=+0.000001。 11. 已知x和y,用变形补码计算x+y,同时指出运算结果是否发生溢出。 (1)x=0.11011 y=-0.10101 (2)x=-10110 y=-00011 12. 已知x和y,用变形补码计算x-y,同时指出运算结果是否发生溢出。 (1)x=0.10111 y=0.11011 (2)x=11011 y=-10011 13. 已知[x]补=1.1011000,[y]补=1.0100110,用变形补码计算2[x]补 +1/2[y]补=?,同时指出结果是否发生溢出。 14. 已知x和y,用原码运算规则计算x+y,同时指出运算结果是否发生溢出。 (1)x=0.1011,y=-0.1110 (2)x=-1101,y=-1010

计算机组成原理实验

实验一基础汇编语言程序设计 一、实验目的: 1、学习和了解TEC-XP16教学实验系统监控命令的用法。 2、学习和了解TEC-XP16教学实验系统的指令系统。 3、学习简单的TEC-XP16教学实验系统汇编程序设计。 二、预习要求: 1、学习TEC-XP16机监控命令的用法。 2、学习TEC-XP16机的指令系统、汇编程序设计及监控程序中子程序调用。 3、学习TEC-XP16机的使用,包括开关、指示灯、按键等。 4、了解实验内容、实验步骤和要求。 三、实验步骤: 在教学计算机硬件系统上建立与调试汇编程序有几种操作办法。 第一种办法,是使用监控程序的A命令,逐行输入并直接汇编单条的汇编语句,之后使用G命令运行这个程序。缺点是不支持汇编伪指令,修改已有程序源代码相对麻烦一些,适用于建立与运行短小的汇编程序。 第二种办法,是使用增强型的监控程序中的W命令建立完整的汇编程序,然后用M命令对建立起来的汇编程序执行汇编操作,接下来用G命令运行这个程序。适用于比较短小的程序。此时可以支持汇编伪指令,修改已经在内存中的汇编程序源代码的操作更方便一些。 第三种办法,是使用交叉汇编程序ASEC,首先在PC机上,用PC机的编辑程序建立完整的汇编程序,然后用ASEC对建立起来的汇编程序执行汇编操作,接下来把汇编操作产生的二进制的机器指令代码文件内容传送到教学机的内存中,就可以运行这个程序了。适用于规模任意大小的程序。

在这里我们只采用第一种方法。 在TEC-XP16机终端上调试汇编程序要经过以下几步: 1、使教学计算机处于正常运行状态(具体步骤见附录联机通讯指南)。 2、使用监控命令输入程序并调试。 ⑴用监控命令A输入汇编程序 >A 或>A 主存地址 如:在命令行提示符状态下输入: A 2000↙;表示该程序从2000H(内存RAM区的起始地址)地址开始 屏幕将显示: 2000: 输入如下形式的程序: 2000: MVRD R0,AAAA ;MVRD 与R0 之间有且只有一个空格,其他指令相同 2002: MVRD R1,5555 2004: ADD R0,R1 2005: AND R0,R1 2006: RET ;程序的最后一个语句,必须为RET 指令 2007:(直接敲回车键,结束A 命令输入程序的操作过程) 若输入有误,系统会给出提示并显示出错地址,用户只需在该地址重新输入正确的指令即可。 ⑵用监控命令U调出输入过的程序并显示在屏幕上 >U 或>U 主存地址

计算机组成原理第六章答案54731培训资料

计算机组成原理第六章答案54731

第6章 计算机的运算方法 2. 已知X=0.a1a2a3a4a5a6(ai 为0或1),讨论下列几种情况时ai 各取何值。 (1)21X > (2)8 1X ≥ (3) 16 1X 41>≥ 解: (1)若要2 1 X > ,只要a1=1,a2~a6不全为0即可。 (2)若要8 1 X ≥,只要a1~a3不全为0即可。 (3)若要 16 1X 41>≥,只要a1=0,a2可任取0或1; 当a2=0时,若a3=0,则必须a4=1,且a5、a6不全为0; 若a3=1,则a4~a6可任取0或1; 当a2=1时, a3~a6均取0。 3. 设x 为整数,[x]补=1,x1x2x3x4x5,若要求 x < -16,试问 x1~x5 应取何值? 解:若要x < -16,需 x1=0,x2~x5 任意。(注:负数绝对值大的补码码值反而小。) 4. 设机器数字长为8位(含1位符号位在内),写出对应下列各真值的原码、补码和反码。 -13/64,29/128,100,-87 解:真值与不同机器码对应关系如下: 5. 已知[x]补,求[x]原和x 。 [x1]补=1.1100; [x2]补=1.1001; [x3]补=0.1110; [x4]补=1.0000; [x5]补=1,0101; [x6]补=1,1100; [x7]补=0,0111; [x8]补=1,0000; 解:[x]补与[x]原、x 的对应关系如下: 6. 设机器数字长为8位(含1位符号位在内),分整数和小数两种情况讨论真值x 为何值时,[x]补=[x]原成立。 解:当x 为小数时,若x ≥ 0,则 [x]补=[x]原成立; 若x < 0,当x= -1/2时,[x]补=[x]原=1.100 0000,则 [x]补=[x]原成立。 当x 为整数时,若x ≥0,则 [x]补=[x]原成立; 若x< 0,当x= -64时,[x]补=[x]原=1,100 0000,则 [x]补=[x]原成立。 7. 设x 为真值,x*为绝对值,说明[-x*]补=[-x]补能否成立。 解:当x 为真值,x*为绝对值时,[-x*]补=[-x]补不能成立。原因如下: (1)当x<0时,由于[-x*]补是一个负值,而[-x]补是一个正值,因此此时[-x*]补=[-x]补不成立; (2)当x ≥0时,由于-x*=-x ,因此此时 [-x*]补=[-x]补的结论成立。 8. 讨论若[x]补>[y]补,是否有x>y ? 解:若[x]补>[y]补,不一定有x>y 。 [x]补 > [y]补时 x > y 的结论只在 x > 0且y > 0,及 x<0且y<0时成立。

《计算机组成原理》第6章习题答案

第 6 章习题答案 1 .控制器有哪几种控制方式?各有何特点? 解:控制器的控制方式可以分为3 种:同步控制方式、异步控制方式和联合控方式。同步控制方式的各项操作都由统一的时序信号控制,在每个机器周期中产生统一目的节拍电位和工作脉冲。这种控制方式设计简单,容易实现;但是对于许多简单指令说会有较多的空闲时间,造成较大数量的时间浪费,从而影响了指令的执行速度。 异步控制方式的各项操作不采用统一的时序信号控制,而根据指令或部件的具体况决定,需要多少时间,就占用多少时间。异步控制方式没有时间上的浪费,因而提高机器的效率,但是控制比较复杂。 联合控制方式是同步控制和异步控制相结合的方式。 2.什么是三级时序系统? 解:三级时序系统是指机器周期、节拍和工作脉冲。计算机中每个指令周期划分若干个机器周期,每个机器周期划分为若干个节拍,每个节拍中设置一个或几个工脉冲。3.控制器有哪些基本功能?它可分为哪几类?分类的依据是什么? 解:控制器的基本功能有: (1) 从主存中取出一条指令,并指出下一条指令在主存中的位置。 (2) 对指令进行译码或测试,产生相应的操作控制信号,以便启动规定的动作。 (3) 指挥并控制CPU主存和输入输出设备之间的数据流动。 控制器可分为组合逻辑型、存储逻辑型、组合逻辑与存储逻辑结合型 3 类,分类的依据在于控制器的核心一一微操作信号发生器(控制单元CU)的实现方法不同。 4 .中央处理器有哪些功能?它由哪些基本部件所组成? 解:从程序运行的角度来看,CPU的基本功能就是对指令流和数据流在时间与空间上实施正确的控制。对于冯?诺依曼结构的计算机而言,数据流是根据指令流的操作而形成的,也就是说数据流是由指令流来驱动的。 中央处理器由运算器和控制器组成。 5 .中央处理器中有哪几个主要寄存器?试说明它们的结构和功能。 解:CPU中的寄存器是用来暂时保存运算和控制过程中的中间结果、最终结果及控制、状态信息的,它可分为通用寄存器和专用寄存器两大类。 通用寄存器可用来存放原始数据和运算结果,有的还可以作为变址寄存器、计数器、地址指针等。专用寄存器是专门用来完成某一种特殊功能的寄存器,如程序计数器PC指令 寄存器IR、存储器地址寄存器MAR存储器数据寄存器MDR状态标志寄存器PSWF等。 15 、什么是微命令和微操作?什么是微指令?微程序和机器指令有何关系?微程序和程序之间有何关系? 解:微命令是控制计算机各部件完成某个基本微操作的命令。微操作是指计算机中最基本的、不可再分解的操作。微命令和微操作是一一对应的,微命令是微操作的控制信号,微操作是微命令的操作过程。 微指令是若干个微命令的集合。微程序是机器指令的实时解释器,每一条机器指令都对应一个微程序。 微程序和程序是两个不同的概念。微程序是由微指令组成的,用于描述机器指令,实际上是机器指令的实时解释器,微程序是由计算机的设计者事先编制好并存放在控制储器中的,一般不提供给用户;程序是由机器指令组成的,由程序员事先编制好并存放在主存储器中。 16.什么是垂直型微指令?什么是水平型微指令?它们各有什么特点? 解:垂直型微指令是指一次只能执行一个微命令的微指令;水平型微指令是指一次能定义并能

计算机组成原理实验七

图16 启停单元布局图 序电路由1片74LS157、2片74LS00、4个LED PLS2、PLS3、PLS4)组成。当LED发光时 图17

图17 时序单元布局图 (二)启停、脉冲单元的原理 1.启停原理:(如图18) 启停电路由1片7474组成,当按下RUN按钮,信号输出RUN=1、STOP=0,表示当前实验机为运行状态。当按下STOP 按钮,信号RUN=0、STOP=1,表示当前实验机为停止状态。当 系统处于停机状态时,微地址、进位寄存器都被清零,并且可 通过监控单元来读写内存和微程序。在停止状态下,当HALT 时有一个高电平,同时HCK有一个上升沿,此时高电平被打入 寄存器中,信号输出RUN=1、STOP=0,使实验机处于运行状态。

图18 启停单元原理图 2.时序电路: 时序电路由监控单元来控制时序输出(PLS1、PLS2、PLS3、PLS4)。实验所用的时序电路(如图19)可产生4个等间隔的时序信号PLS1、PLS2、PLS3、PLS4。为了便于监控程序流程,由监控单元输出PO信号和SIGN脉冲来实现STEP(微单步)、GO (全速)和HALT(暂停)。当实验机处于运行状态,并且是微单步执行,PLS1、PLS2、PLS3、PLS4分别发出一个脉冲,全速执行时PLS1、PLS2、PLS3、PLS4脉冲将周而复始的发送出去。在时序单元中也提供了4个按钮,实验者可手动给出4个独立的脉冲,以便实验者单拍调试模型机。

图19 时序电路图 实验步骤 1.交替按下“运行”和“暂停”,观察运行灯的变化(运行:RUN 亮;暂停:RUN灭)。 2.把HALT信号接入二进制拨动开关,HCK接入脉冲单元的PLS1。按下表接线 接入开关位号 信号定 义 HCK PLS1孔 HALT H13孔 3.按启停单元中的停止按钮,置实验机为停机状态,HALT=1。 4.按脉冲单元中的PLS1脉冲按键,在HCK上产生一个上升

计算机组成原理实验完整版

河南农业大学 计算机组成原理实验报告 题目简单机模型实验 学院信息与管理科学学院 专业班级计算机科学与技术2010级1班 学生姓名张子坡(1010101029) 指导教师郭玉峰 撰写日期:二○一二年六月五日

一、实验目的: 1.在掌握各部件的功能基础上,组成一个简单的计算机系统模型机; 2.了解微程序控制器是如何控制模型机运行的,掌握整机动态工作过程; 3定义五条机器指令,编写相应微程序并具体上机调试。 二、实验要求: 1.复习计算机组成的基本原理; 2.预习本实验的相关知识和内容 三、实验设备: EL-JY-II型计算机组成原理试验系统一套,排线若干。 四、模型机结构及工作原理: 模型机结构框图见实验书56页图6-1. 输出设备由底板上上的四个LED数码管及其译码、驱动电路构成,当D-G和W/R均为低电平时将数据结构的数据送入数据管显示注:本系统的数据总线为16位,指令、地址和程序计数器均为8位。当数据总线上的数据打入指令寄存器、地址寄存器和程序寄存器时,只有低8位有效。 在本实验我们学习读、写机器指令和运行机器指令的完整过程。在机器指令的执行过程中,CPU从内存中取出一条机器指令到执行结束为一个指令周期,指令由微指令组成的序列来完成,一条机器指令对应一段微程序。另外,读、写机器指令分别由相应的微程序段来完成。

为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,必须设计三个控制操作微程序。 存储器读操作(MRD):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“00”时,按“单步”键,可对RAM连续读操作。 存储器写操作(MWE):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“10”时,按“单步”键,可对RAM连续写操作。 启动程序(RUN):拨动开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“11”时,按“单步”键,即可转入第01号“取指”微指令,启动程序运行。 注:CA1、CA2由控制总线的E4、E5给出。键盘操作方式有监控程序直接对E4、E5赋值,无需接线。开关方式时可将E4、E5接至控制开关CA1、CA2,由开关控制。 五、实验内容、分析及参考代码: 生成的下一条微地址 UA5 UA0 MS5 MS0 微地址

计算机组成原理实验6

第六节 CPU组成与机器指令执行实验 一、实验目的 (1)将微程序控制器同执行部件(整个数据通路)联机,组成一台模型计算机; (2)用微程序控制器控制模型机数据通路; (3)通过CPU运行九条机器指令(排除中断指令)组成的简单程序,掌握机器指令与微指令的关系,牢固建立计算机的整机概念。 二、实验电路 本次实验用到前面四个实验中的所有电路,包括运算器、存储器、通用寄存器堆、程序计数器、指令寄存器、微程序控制器等,将几个模块组合成为一台简单计算机。因此,在基本实验中,这是最复杂的一个实验,也是最能得到收获的一个实验。 在前面的实验中,实验者本身作为“控制器”,完成数据通路的控制。而在本次实验中,数据通路的控制将由微程序控制器来完成。CPU从内存取出一条机器指令到执行指令结束的一个机器指令周期,是由微指令组成的序列来完成的,即一条机器指令对应一个微程序。 三、实验设备 (1)TEC-9计算机组成原理实验系统一台 (2)双踪示波器一台 (3)直流万用表一只 (4)逻辑测试笔一支 四、实验任务 (1)对机器指令系统组成的简单程序进行译码。 (2)按照下面框图,参考前面实验的电路图完成连线,控制器是控制部件,数据通路(包括上面各模块)是执行部件,时序产生器是时序部件。连线包括控制台、时序部分、数据通路和微程序控制器之间的连接。其中,为把操作数传送给通用寄存器组RF,数据通路上的RS1、RS0、RD1、RD0应分别与IR3至IR0连接,WR1、WR0也应接到IR1、IR0上。 开关控制 控制台时序发生器 时序信号 开关控制指示灯信号控制信号时序信号 控制信号 微程序控制器数据通路 指令代码、条件信号

计算机组成原理实验报告

实验报告书 实验名称:计算机组成原理实验 专业班级:113030701 学号:113030701 姓名: 联系电话: 指导老师:张光建 实验时间:2015.4.30-2015.6.25

实验二基本运算器实验 一、实验内容 1、根据原理图连接实验电路

3、比较实验结果与手工运算结果,如有错误,分析原因。 二、实验原理 运算器可以完成算术,逻辑,移位运算,数据来自暂存器A和B,运算方式由S3-S0以及CN来控制。运算器由一片CPLD来实现。ALU的输入和输出通过三态门74LS245连接到CPU内总线上。另外还有指示灯进位标志位FC和零标志位FZ。 运算器原理图: 运算器原理图 暂存器A和暂存器B的数据能在LED灯上实时显示。进位进位标志FC、零标志FZ 和数据总线D7…D0 的显示原理也是如此。 ALU和外围电路连接原理图:

ALU和外围电路连接原理图运算器逻辑功能表:

三、实验步骤 1、按照下图的接线图,连接电路。 2、将时序与操作台单元的开关KK2 置为‘单拍’档,开关KK1、KK3 置为‘运行’档。 3、打开电源开关,如果听到有‘嘀’报警声,说明有总线竞争现象,应立即关闭电源,重新检查接线,直到错误排除。然后按动CON 单元的CLR 按钮,将运算器的A、B 和FC、FZ 清零。 4、用输入开关向暂存器A 置数。 ①拨动CON 单元的SD27…SD20 数据开关,形成二进制数01100101 (或其它数值),数据显示亮为‘1’,灭为‘0’。 ②置LDA=1,LDB=0,连续按动时序单元的ST 按钮,产生一个T4 上沿,则将二进制数01100101 置入暂存器A 中,暂存器A 的值通过ALU 单元的 A7…A0 八位LED 灯显示。 5、用输入开关向暂存器B 置数。 ①拨动CON 单元的SD27…SD20 数据开关,形成二进制数10100111 (或其它数值)。 ②置LDA=0,LDB=1,连续按动时序单元的ST 按钮,产生一个T4 上沿,则将二进制数10100111 置入暂存器B 中,暂存器B 的值通过ALU 单元的 B7…B0 八位LED 灯显示。 6、改变运算器的功能设置,观察运算器的输出。置ALU_B=0 、LDA=0、LDB=0,然后按表2-2-1 置S3、S2、S1、S0 和Cn的数值,并观察数据总线LED 显示灯显示的结果。如置S3、S2、S1、S0 为0010 ,运算器作逻辑与运算,置S3、S2、

计算机组成原理第六章答案

计算机组成原理第六章 答案 文档编制序号:[KKIDT-LLE0828-LLETD298-POI08]

1. 写出下列各数的原码、反码、补码、移码(用8位二进制表示),其中MSB是最高位(符号位),LSB是最低位。如果是小数,则小数点在MSB之后;如果是整数,则小数点在LSB之后。 (1)-59/64 (2)27/128 (3)-127/128 (4)用小数表示-1 (5)用整数表示-1 (6)-127 (7)35 (8)-128 2. 设[x]补=,其中x i取0或1,若要使x>-,则x0、x1、x2、x3、x4的取值应满足什么条件 3. 若32位定点小数的最高位为符号位,用补码表示,则所能表示的最大正数为,最小正数为,最大负数为,最小负数为;若32位定点整数的最高位为符号位,用原码表示,则所能表示的最大正数为,最小正数为,最大负数为,最小负数为。 4. 若机器字长为32位,在浮点数据表示时阶符占1位,阶码值占7位,数符占1位,尾数值占23位,阶码用移码表示,尾数用原码表示,则该浮点数格式所能表示的最大正数为,最小正数为,最大负数为,最小负数为。 5. 某机浮点数字长为18位,格式如图所示,已知阶码(含阶符)用补码表示,尾数(含数符)用原码表示。 (1)将(-1027)10表示成规格化浮点数; (2)浮点数(0EF43)16是否是规格化浮点数它所表示的真值是多少 图浮点数的表示格式 6. 有一个字长为32位的浮点数,格式如图所示,已知数符占1位;阶码占8位,用移码表示;尾数值占23位,尾数用补码表示。 图浮点数的表示格式 请写出: (1)所能表示的最大正数; (2)所能表示的最小负数; (3)规格化数所能表示的数的范围。 7. 若浮点数x的IEEE754标准的32位存储格式为(8FEFC000)16,求其浮点数的十进制数值。 8. 将数10转换成IEEE754标准的32位浮点数的二进制存储格式。

计算机组成原理实验实验报告

计算机组成原理实验报告 学院信息与管理科学学院 专业班级计算机科学与技术2010级2班学生姓名毛世均 1010101046 指导教师郭玉峰 撰写日期:二○一二年六月四日

SA4=1 1.根据上边的逻辑表达式,分析58页图6-2的P1测试和P4测试两条指令的微地址转移方向。 P1测试:进行P1测试时,P1为0,其他的都为1, 因此SA4=1, SA3=I7,SA2=I6,SA1=,SA0=I4 微地址011001,下址字段为001000下址字段001000译码后,高两位不变,仍然为00,低四位受到机器指令的高四位I7-I4的影响。 机器指令的高四位为0000时,下一条微指令地址为001000,转到IN 操作。机器指令高四位0010时,下一条微指令地址为001010,转到MOV 操作。机器指令高四位为0001时,下一条微指令地址为001001,转到ADD 操作。机器指令高四位为0011时,下一条微指令地址为001011,转到OUT 操作。机器指令高四位为0100时,下一条微指令地址001100,转到JMP 操作 P4测试:进行P4测试时,P4为0,其他的都为1. 因此SA4=SA3=SA2=1,SA1=CA2,SA0=CA1 微地址000000,下址字段为010000. 010000被译码之后,高四位不变,0100低两位由CA2和CA1控制。CA2和CA1的值是由单片机的键盘填入控制的。 当实验选择CtL2=1时,CA2和CA1被填入0和1,这时低两位被译码电路翻译成01,所以下一条微地址就是010001,然后进入写机器指令的状态。当实验选择CtL2=2时,CA2和CA1被填入1和0,这时低两位被译码电路翻译成10,所以下一条微地址就是010010,然后进入读机器指令的状态。当实验选择CtL2=2时,CA2和CA1被填入1和1,这时低两位被译码电路翻译成 11,所以下一条微地址就是010011,然后进入运行机器指令的状态。 2.分析实验六中五条机器指令的执行过程。

第六章计算机组成原理课后答案(第二版)

第六章 12. 设浮点数格式为:阶码5位(含1位阶符),尾数11位(含1位数符)。写出51/128、-27/1024所对应的机器数。要求如下: (1)阶码和尾数均为原码。 (2)阶码和尾数均为补码。 (3)阶码为移码,尾数为补码。 解:据题意画出该浮点数的格式: 阶符1位阶码4位数符1位尾数10位 将十进制数转换为二进制:x1= 51/128= 0.0110011B= 2-1 * 0.110 011B x2= -27/1024= -0.0000011011B = 2-5*(-0.11011B)则以上各数的浮点规格化数为: (1)[x1]浮=1,0001;0.110 011 000 0 [x2]浮=1,0101;1.110 110 000 0 (2)[x1]浮=1,1111;0.110 011 000 0 [x2]浮=1,1011;1.001 010 000 0 (3)[x1]浮=0,1111;0.110 011 000 0 [x2]浮=0,1011;1.001 010 000 0 16.设机器数字长为16位,写出下列各种情况下它能表示的数的范围。设机器数采用一位符号位,答案均用十进制表示。 (1)无符号数; (2)原码表示的定点小数。 (3)补码表示的定点小数。 (4)补码表示的定点整数。 (5)原码表示的定点整数。 (6)浮点数的格式为:阶码6位(含1位阶符),尾数10位(含1位数符)。分别写出其正数和负数的表示范围。 (7)浮点数格式同(6),机器数采用补码规格化形式,分别写出其对应的正数和负数的真值范围。 解:(1)无符号整数:0 —— 216 - 1,即:0—— 65535; 无符号小数:0 —— 1 - 2-16,即:0 —— 0.99998; (2)原码定点小数:-1 + 2-15——1 - 2-15,即:-0.99997 —— 0.99997 (3)补码定点小数:- 1——1 - 2-15,即:-1——0.99997 (4)补码定点整数:-215——215 - 1 ,即:-32768——32767 (5)原码定点整数:-215 + 1——215 - 1,即:-32767——32767 (6)据题意画出该浮点数格式,当阶码和尾数均采用原码,非规格化数表示时: 最大负数= 1,11 111;1.000 000 001 ,即 -2-9?2-31 最小负数= 0,11 111;1.111 111 111,即 -(1-2-9)?231 则负数表示范围为:-(1-2-9)?231 —— -2-9?2-31 最大正数= 0,11 111;0.111 111 111,即(1-2-9)?231 最小正数= 1,11 111;0.000 000 001,即 2-9?2-31

计算机组成原理实验报告

计算机组成原理实验报告-标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

计算机组成原理实验报告 ——微程序控制器实验一.实验目的: 1.能看懂教学计算机(TH-union)已经设计好并正常运行的数条基本指令的功能、格式及 执行流程。并可以自己设计几条指令,并理解其功能,格式及执行流程,在教学计算机上实现。 2.深入理解计算机微程序控制器的功能与组成原理 3.深入学习计算机各类典型指令的执行流程 4.对指令格式、寻址方式、指令系统、指令分类等建立具体的总体概念 5.学习微程序控制器的设计过程和相关技术 二.实验原理: 微程序控制器主要由控制存储器、微指令寄存器和地址转移逻辑三大部分组成。 其工作原理分为: 1、将程序和数据通过输入设备送入存储器; 2、启动运行后从存储器中取出程序指令送到控制器去识别,分析该指令要求什么事; 3、控制器根据指令的含义发出相应的命令(如加法、减法),将存储单元中存放的操作数据取出送往运算器进行运算,再把运算结果送回存储器指定的单元中; 4、运算任务完成后,就可以根据指令将结果通过输出设备输出 三.微指令格式: 微指令由下地址字段及控制字段组成.TH—UNION教学机的微指令格式如下: 其中高八位为下地址字段.其余各位为控制字段. 1)微地址形成逻辑 TH—UNION 教学机利用器件形成下一条微指令在控制器存储器的地址. 下地址的形成由下地址字段及控制字段中的CI3—SCC控制.当为顺序执行时,下地址字段不起作用.下地址为当前微指令地址加1;当为转移指令(CI3— 0=0011)时,由控制信号SCC提供转移条件,由下地址字段提供转移地址. 2)控制字段

计算机组成原理习题第六章总线系统

第六章总线系统 一、填空题: 1.PCI总线采用仲裁方式,每一个PCI设备都有独立的总线请求和总线授权两条信号线与相连。 2.SCSI是处于和之间的并行I/O接口,可允许连接台不同类型的高速外围设备。 3.总线有A 特性、B 特性、C 特性、D 特性,因此必须E 。 4.微型计算机的标准总线从16位的A 总线发展到32位的B 总线和C 总线,又进一步发展到64位的D 总线。 二、选择题: 1.计算机使用总线结构的主要优点是便于实现技术化,同时______。 A. 减少信息传输量 B. 提高信息传输速度 C. 减少了信息传输线的条数 D. 减少了存储器占用时间 2.描述PCI总线基本概念中正确的句子是______。 A.PCI总线的基本传输机制是猝发式传送 B.PCI总线是一个与处理器有关的高速外围总线 C.PCI设备一定是主设备 D.系统中允许只有一条PCI总线 3.描述PCI总线中基本概念表述不正确的是______。 A.PCI设备不一定是主设备 B.PCI总线是一个与处理器有关的高速外围总线 C.PCI总线的基本传输机制是猝发式传送 D.系统中允许有多条PCI总线 4.并行I/O标准接口SCSI中,一块适配器可以连接______台具有SCSI接口的设备。 A. 6 B. 7 C. 8 D. 9 5.下面对计算机总线的描述中,确切完备的概念是______。 A.地址信息、数据信息不能同时出现 B.地址信息与控制信息不能同时出现 C.数据信息与控制信息不能同时出现 D.两种信息源的代码不能在总线中同时传送 6.SCSI接口以菊花链形式最多可连接______台设备。 A.7台B.8台C.6台D.10台 7.微型机系统中外设通过适配器与主板的系统总线相连接,其功能是___。 A. 数据缓冲和数据格式转换 B.监测外设的状态 C.控制外设的操作 D. 前三种功能的综合作用 8.计算机使用总线结构的主要优点是便于实现积木化,同时___。 A.减少了信息传输量 B.提高了信息传输的速度

计算机组成原理实验1.

计算机组成原理实验1 运算器(脱机)实验 通过开关、按键控制教学机的运算器执行指定的运算功能,并通过指示灯观察运算结果。实验原理: 为了控制Am2901运算器能够按照我们的意图完成预期的操作功能,就必须向其提供相应的控制信号和数据。 控制信号包括 1、选择送入ALU的两路操作数据R和S的组合关系(实际来源)。 2、选择ALU的八种运算功能中我们所要求的一种。这可通过提供三位功能选择码I5、 I4、I3实现。 3、选择运算结果或有关数据以什么方式送往何处的处理方案,这主要通过通用寄存器 组合和Q寄存器执不执行接收操作或位移操作,以及向芯片输出信息Y提供的是 什么内容。这是通过I8、I7、I6三位结果选择码来控制三组选择门电路实现的。 外部数据包括 1、通过D接收外部送来的数据 2、应正确给出芯片的最低位进位输入信号C n 3、关于左右移位操作过程中的RAM3、RAM0、Q3和Q0的处理。 4、当执行通用寄存器组的读操作时,由外部送入的A地址选中的通用寄存器的内容送 往A端口,由B地址选中的通用寄存器的内容送往B端口,B地址还用作通用寄 存器的写汝控制。 对于芯片的具体线路,需说明如下几点: 1、芯片结果输出信号的有无还受一个/OE(片选)信号的控制。 2、标志位F=0000为集电极开路输出,容易实现“线与”逻辑,此管脚需经过一个电阻 接到+5V。 3、RAM3、RAM0、Q3和Q0均为双向三态逻辑,一定要与外部电路正确连接。 4、通用寄存器组通过A端口、B端口读出内容的输出处均有锁存器线路支持。 5、该芯片还有两个用于芯片间完成高速进位的输出信号/G和/P。 6、Am2901芯片要用一个CLK(CP)时钟信号作为芯片内通用寄存器、锁存器和Q寄 存器的打入信号。 实验步骤如下: (1)选择运算器要完成的一项运算功能,包括数据来源,运算功能,结果保存等;(2)需要时,通过数据开关向运算器提供原始数据; (3)通过24位的微型开关向运算器提供为完成指定运算功能所需要的控制信号; (4)通过查看指示灯或用电表量测,观察运算器的运行结果(包括计算结果和特征标志)。实验准备 12为微型开关的具体控制功能分配如下: A口和B口地址:送给Am2901器件用于选择源与目的操作数的寄存器编号; I8~I0:选择操作数来源、运算操作功能、选择操作数处理结果和运算器输出内容的3组3位控制码; Sci,SSH和SST:用于确定运算器最低位的进位输入、移位信号的入/出和怎样处理Am2901产生的状态标志位的结果。

计算机组成原理试题解析6

1.计算机的主存是由RAM和ROM两种半导体存储器组成的. 答:正确. 2.CPU可以直接访问主存,而不能直接访问辅存. 答:正确. 3.外(辅)存比主存的存储容量大,存取速度快. 答:错误. 4.动态RAM和静态RAM都是易失性半导体存储器. 答:正确. 5.Cache的功能全部由硬件实现. 答:正确. 6.引入虚拟存储器的目的是为了加快辅存的存取速度. 答:错误. 7.多体交叉存储器主要是为了解决扩充容量的问题. 答:错误.多体交叉存储器主要是为了提高存取速度,增加带宽. 8.Cache和虚拟存储器的存储管理策略都利用了程序的局部性原理. 答:正确. 9.多级存储体系由Cache,主存和辅存构成. 答:正确. 10.在虚拟存储器中,当程序正在执行时,由编译器完成地址映射. 答:错误(由操作系统完成). 二.选择题 1.主(内)存用来存放. A.程序 B.数据 C.微程序 D.程序和数据 解:答案为D. 2.下列存储器中,速度最慢的是. A.半导体存储器 B.光盘存储器 C.磁带存储器 D.硬盘存储器 解:答案为C. 3.某一SRAM芯片,容量为16K×1位,则其地址线有. A.14根 B.16K根 C.16根 D.32根 解:答案为A. 4.下列部件(设备)中,存取速度最快的是. A.光盘存储器 B.CPU的寄存器 C.软盘存储器 D.硬盘存储器 解:答案为B. 5.在主存和CPU之间增加Cache的目的是. A.扩大主存的容量 B.增加CPU中通用寄存器的数量 C.解决CPU和主存之间的速度匹配 D.代替CPU中的寄存器工作 解:答案为C. 6.计算机的存储器采用分级存储体系的目的是. A.便于读写数据 B.减小机箱的体积 C.便于系统升级 D.解决存储容量,价格与存取速度间的矛盾 解:答案为D. 7.相联存储器是按进行寻址的存储器. A.地址指定方式 B.堆栈存取方式 C.内容指定方式 D.地址指定与堆栈存取方式结合

计算机组成原理实验

计算机组成原理 实验报告 学院(系):软件学院 专业:软件设计 班级:软件设计一班 学号:1415925365 姓名:沈烨 2016年11月24日

实验1 Cache模拟器的实现 一.实验目的 (1)加深对Cache的基本概念、基本组织结构以及基本工作原理的理解。 (2)掌握Cache容量、相联度、块大小对Cache性能的影响。 (3)掌握降低Cache不命中率的各种方法以及这些方法对提高Cache性能的好处。 (4)理解LRU与随机法的基本思想以及它们对Cache性能的影响。 二、实验内容和步骤 1、启动CacheSim。 2、根据课本上的相关知识,进一步熟悉Cache的概念和工作机制。 3、依次输入以下参数:Cache容量、块容量、映射方式、替换策略和写策略。 4、读取cache-traces.zip中的trace文件。 5、运行程序,观察cache的访问次数、读/写次数、平均命中率、读/写命中率。思考:1、Cache的命中率与其容量大小有何关系? Cache 的容量与块长是影响cache效率的重要因素; Cache 容量越大,其CPU命中率就越高,当然容量过大,增加成本,而且cache 容量达到一定值时,命中率已不因容量的增加而又明显的提高; 2、Cache块大小对不命中率有何影响? Cache 当块由小到大,在已被访问字的附近,近期也可能访问,增大块长,可将更多有用字存入缓存,提高命中率;但是继续增大块长,命中率可能下降,因为所装入缓存的有用数据反而少于被替换掉的有用数据,由于块长增大,块数减少,装入新的块要覆盖旧块,很可能出现少数块刚装入就被覆盖,故命中率可能下降; 3、替换算法和相联度大小对不命中率有何影响? 替换算法中:LRU算法的平均命中率比FIFO的高 LRU算法比较好地利用访存局部性原理,替换出近期用得最少的字块,它需要随时记录cache 各个字块使用情况。FIFO不需要记录各个字块的使用情况,比较容易实现开销小,但是没有根据访存的局部性原理,最早调入的信息可能以后还要用到,或经常用到例如循环程序; Cache 容量一定时,随着相联度的不断增加,不命中率渐渐减小,但是当相连度增加到一定程度时,不命中率保持不变;

相关文档
相关文档 最新文档