文档库 最新最全的文档下载
当前位置:文档库 › Xilinx K7 70T管脚 bank

Xilinx K7 70T管脚 bank

Device/Package xc7k70tfbg676 8/10/2011 14:01:20

Pin Pin Name Memory Byte Group Bank VCCAUX Group Super Logic Region I/O Type No-Connect
R11 DXN_0 NA 0 NA NA CONFIG NA
M12 VCCADC_0 NA 0 NA NA CONFIG NA
M11 GNDADC_0 NA 0 NA NA CONFIG NA
R12 DXP_0 NA 0 NA NA CONFIG NA
N11 VREFN_0 NA 0 NA NA CONFIG NA
P12 VREFP_0 NA 0 NA NA CONFIG NA
N12 VP_0 NA 0 NA NA CONFIG NA
P11 VN_0 NA 0 NA NA CONFIG NA
E8 VCCBATT_0 NA 0 NA NA CONFIG NA
C8 CCLK_0 NA 0 NA NA CONFIG NA
L8 TCK_0 NA 0 NA NA CONFIG NA
N8 TMS_0 NA 0 NA NA CONFIG NA
R7 TDO_0 NA 0 NA NA CONFIG NA
R6 TDI_0 NA 0 NA NA CONFIG NA
G7 INIT_B_0 NA 0 NA NA CONFIG NA
P6 PROGRAM_B_0 NA 0 NA NA CONFIG NA
P7 CFGBVS_0 NA 0 NA NA CONFIG NA
J7 DONE_0 NA 0 NA NA CONFIG NA
P5 M2_0 NA 0 NA NA CONFIG NA
T5 M0_0 NA 0 NA NA CONFIG NA
T2 M1_0 NA 0 NA NA CONFIG NA
U21 NC

NA NOPAD/UNCONNECTED NA NA NA NA
U22 NC NA NOPAD/UNCONNECTED NA NA NA NA
V22 NC NA NOPAD/UNCONNECTED NA NA NA NA
U24 NC NA NOPAD/UNCONNECTED NA NA NA NA
U25 NC NA NOPAD/UNCONNECTED NA NA NA NA
V23 NC NA NOPAD/UNCONNECTED NA NA NA NA
V24 NC NA NOPAD/UNCONNECTED NA NA NA NA
U26 NC NA NOPAD/UNCONNECTED NA NA NA NA
V26 NC NA NOPAD/UNCONNECTED NA NA NA NA
W25 NC NA NOPAD/UNCONNECTED NA NA NA NA
W26 NC NA NOPAD/UNCONNECTED NA NA NA NA
V21 NC NA NOPAD/UNCONNECTED NA NA NA NA
W21 NC NA NOPAD/UNCONNECTED NA NA NA NA
AA25 NC NA NOPAD/UNCONNECTED NA NA NA NA
AB25 NC NA NOPAD/UNCONNECTED NA NA NA NA
W23 NC NA NOPAD/UNCONNECTED NA NA NA NA
W24 NC NA NOPAD/UNCONNECTED NA NA NA NA
AB26 NC NA NOPAD/UNCONNECTED NA NA NA NA
AC26 NC NA NOPAD/UNCONNECTED NA NA NA NA
Y25 NC NA NOPAD/UNCONNECTED NA NA NA NA
Y26 NC NA NOPAD/UNCONNECTED NA NA NA NA
AA23 NC NA NOPAD/UNCONNECTED NA NA NA NA
AB24 NC NA NOPAD/UNCONNECTED NA NA NA NA
Y23 NC NA NOPAD/UNCONNECTED NA NA NA NA
AA24 NC NA NOPAD/UNCONNECTED NA NA

NA NA
Y22 NC NA NOPAD/UNCONNECTED NA NA NA NA
AA22 NC NA NOPAD/UNCONNECTED NA NA NA NA
AC23 NC NA NOPAD/UNCONNECTED NA NA NA NA
AC24 NC NA NOPAD/UNCONNECTED NA NA NA NA
W20 NC NA NOPAD/UNCONNECTED NA NA NA NA
Y21 NC NA NOPAD/UNCONNECTED NA NA NA NA
AD23 NC NA NOPAD/UNCONNECTED NA NA NA NA
AD24 NC NA NOPAD/UNCONNECTED NA NA NA NA
AB22 NC NA NOPAD/UNCONNECTED NA NA NA NA
AC22 NC NA NOPAD/UNCONNECTED NA NA NA NA
AB21 NC NA NOPAD/UNCONNECTED NA NA NA NA
AC21 NC NA NOPAD/UNCONNECTED NA NA NA NA
AD21 NC NA NOPAD/UNCONNECTED NA NA NA NA
AE21 NC NA NOPAD/UNCONNECTED NA NA NA NA
AF24 NC NA NOPAD/UNCONNECTED NA NA NA NA
AF25 NC NA NOPAD/UNCONNECTED NA NA NA NA
AD26 NC NA NOPAD/UNCONNECTED NA NA NA NA
AE26 NC NA NOPAD/UNCONNECTED NA NA NA NA
AE23 NC NA NOPAD/UNCONNECTED NA NA NA NA
AF23 NC NA NOPAD/UNCONNECTED NA NA NA NA
AD25 NC NA NOPAD/UNCONNECTED NA NA NA NA
AE25 NC NA NOPAD/UNCONNECTED NA NA NA NA
AE22 NC NA NOPAD/UNCONNECTED NA NA NA NA
AF22 NC NA NOPAD/UNCONNECTED NA NA NA NA
Y20 NC NA

NOPAD/UNCONNECTED NA NA NA NA
N16 IO_0_13 NA 13 NA NA HR NA
K25 IO_L1P_T0_13 0 13 NA NA HR NA
K26 IO_L1N_T0_13 0 13 NA NA HR NA
R26 IO_L2P_T0_13 0 13 NA NA HR NA
P26 IO_L2N_T0_13 0 13 NA NA HR NA
M25 IO_L3P_T0_DQS_13 0 13 NA NA HR NA
L25 IO_L3N_T0_DQS_13 0 13 NA NA HR NA
P24 IO_L4P_T0_13 0 13 NA NA HR NA
N24 IO_L4N_T0_13 0 13 NA NA HR NA
N26 IO_L5P_T0_13 0 13 NA NA HR NA
M26 IO_L5N_T0_13 0 13 NA NA HR NA
R25 IO_L6P_T0_13 0 13 NA NA HR NA
P25 IO_L6N_T0_VREF_13 0 13 NA NA HR NA
N19 IO_L7P_T1_13 1 13 NA NA HR NA
M20 IO_L7N_T1_13 1 13 NA NA HR NA
M24 IO_L8P_T1_13 1 13 NA NA HR NA
L24 IO_L8N_T1_13 1 13 NA NA HR NA
P19 IO_L9P_T1_DQS_13 1 13 NA NA HR NA
P20 IO_L9N_T1_DQS_13 1 13 NA NA HR NA
M21 IO_L10P_T1_13 1 13 NA NA HR NA
M22 IO_L10N_T1_13 1 13 NA NA HR NA
P23 IO_L11P_T1_SRCC_13 1 13 NA NA HR NA
N23 IO_L11N_T1_SRCC_13 1 13 NA NA HR NA
N21 IO_L12P_T1_MRCC_13 1 13 NA NA HR NA

N22 IO_L12N_T1_MRCC_13 1 13 NA NA HR NA
R21 IO_L13P_T2_MRCC_13 2 13 NA NA HR NA
P21 IO_L13N_T2_MRCC_13 2 13 NA NA HR NA
R22 IO_L14P_T2_SRCC_13 2 13 NA NA HR NA
R23 IO_L14N_T2_SRCC_13 2 13 NA NA HR NA
T24 IO_L15P_T2_DQS_13 2 13 NA NA HR NA
T25 IO_L15N_T2_DQS_13 2 13 NA NA HR NA
T20 IO_L16P_T2_13 2 13 NA NA HR NA
R20 IO_L16N_T2_13 2 13 NA NA HR NA
T22 IO_L17P_T2_13 2 13 NA NA HR NA
T23 IO_L17N_T2_13 2 13 NA NA HR NA
U19 IO_L18P_T2_13 2 13 NA NA HR NA
U20 IO_L18N_T2_13 2 13 NA NA HR NA
T18 IO_L19P_T3_13 3 13 NA NA HR NA
T19 IO_L19N_T3_VREF_13 3 13 NA NA HR NA
P16 IO_L20P_T3_13 3 13 NA NA HR NA
N17 IO_L20N_T3_13 3 13 NA NA HR NA
R16 IO_L21P_T3_DQS_13 3 13 NA NA HR NA
R17 IO_L21N_T3_DQS_13 3 13 NA NA HR NA
N18 IO_L22P_T3_13 3 13 NA NA HR NA
M19 IO_L22N_T3_13 3 13 NA NA HR NA
U17 IO_L23P_T3_13 3 13 NA NA HR NA
T17 IO_L23N_T3_13 3 13 NA NA HR NA
R18 IO_L24P_T3_13 3 13 NA NA HR NA
P18 IO_L24N_T3_13 3 13

NA NA HR NA
U16 IO_25_13 NA 13 NA NA HR NA
K21 IO_0_14 NA 14 NA NA HR NA
B24 IO_L1P_T0_D00_MOSI_14 0 14 NA NA HR NA
A25 IO_L1N_T0_D01_DIN_14 0 14 NA NA HR NA
B22 IO_L2P_T0_D02_14 0 14 NA NA HR NA
A22 IO_L2N_T0_D03_14 0 14 NA NA HR NA
B25 IO_L3P_T0_DQS_PUDC_B_14 0 14 NA NA HR NA
B26 IO_L3N_T0_DQS_EMCCLK_14 0 14 NA NA HR NA
A23 IO_L4P_T0_D04_14 0 14 NA NA HR NA
A24 IO_L4N_T0_D05_14 0 14 NA NA HR NA
D26 IO_L5P_T0_D06_14 0 14 NA NA HR NA
C26 IO_L5N_T0_D07_14 0 14 NA NA HR NA
C23 IO_L6P_T0_FCS_B_14 0 14 NA NA HR NA
C24 IO_L6N_T0_D08_VREF_14 0 14 NA NA HR NA
D21 IO_L7P_T1_D09_14 1 14 NA NA HR NA
C22 IO_L7N_T1_D10_14 1 14 NA NA HR NA
B20 IO_L8P_T1_D11_14 1 14 NA NA HR NA
A20 IO_L8N_T1_D12_14 1 14 NA NA HR NA
E21 IO_L9P_T1_DQS_14 1 14 NA NA HR NA
E22 IO_L9N_T1_DQS_D13_14 1 14 NA NA HR NA
C21 IO_L10P_T1_D14_14 1 14 NA NA HR NA
B21 IO_L10N_T1_D15_14 1 14 NA NA HR NA
D23 IO_L11P_T1_SRCC_14 1 14 NA NA HR NA
D24 IO_L11N_T1_SRCC_14 1 14 NA NA HR NA
F22 IO_L12P_T1_MRCC

_14 1 14 NA NA HR NA
E23 IO_L12N_T1_MRCC_14 1 14 NA NA HR NA
G22 IO_L13P_T2_MRCC_14 2 14 NA NA HR NA
F23 IO_L13N_T2_MRCC_14 2 14 NA NA HR NA
G24 IO_L14P_T2_SRCC_14 2 14 NA NA HR NA
F24 IO_L14N_T2_SRCC_14 2 14 NA NA HR NA
E25 IO_L15P_T2_DQS_RDWR_B_14 2 14 NA NA HR NA
D25 IO_L15N_T2_DQS_DOUT_CSO_B_14 2 14 NA NA HR NA
G25 IO_L16P_T2_CSI_B_14 2 14 NA NA HR NA
G26 IO_L16N_T2_A15_D31_14 2 14 NA NA HR NA
F25 IO_L17P_T2_A14_D30_14 2 14 NA NA HR NA
E26 IO_L17N_T2_A13_D29_14 2 14 NA NA HR NA
J26 IO_L18P_T2_A12_D28_14 2 14 NA NA HR NA
H26 IO_L18N_T2_A11_D27_14 2 14 NA NA HR NA
H21 IO_L19P_T3_A10_D26_14 3 14 NA NA HR NA
G21 IO_L19N_T3_A09_D25_VREF_14 3 14 NA NA HR NA
H23 IO_L20P_T3_A08_D24_14 3 14 NA NA HR NA
H24 IO_L20N_T3_A07_D23_14 3 14 NA NA HR NA
J21 IO_L21P_T3_DQS_14 3 14 NA NA HR NA
H22 IO_L21N_T3_DQS_A06_D22_14 3 14 NA NA HR NA
J24 IO_L22P_T3_A05_D21_14 3 14 NA NA HR NA
J25 IO_L22N_T3_A04_D20_14 3 14 NA NA HR NA
L22 IO_L23P_T3_A03_D19_14 3 14 NA NA HR NA
K22 IO_L23N_T3_A02_D18_14 3 14 NA NA HR NA
K23 IO_L24P_T3_A01_D17_14 3 14 NA NA

HR NA
J23 IO_L24N_T3_A00_D16_14 3 14 NA NA HR NA
L23 IO_25_14 NA 14 NA NA HR NA
K15 IO_0_15 NA 15 NA NA HR NA
C16 IO_L1P_T0_AD0P_15 0 15 NA NA HR NA
B16 IO_L1N_T0_AD0N_15 0 15 NA NA HR NA
A18 IO_L2P_T0_AD8P_15 0 15 NA NA HR NA
A19 IO_L2N_T0_AD8N_15 0 15 NA NA HR NA
B17 IO_L3P_T0_DQS_AD1P_15 0 15 NA NA HR NA
A17 IO_L3N_T0_DQS_AD1N_15 0 15 NA NA HR NA
C19 IO_L4P_T0_AD9P_15 0 15 NA NA HR NA
B19 IO_L4N_T0_AD9N_15 0 15 NA NA HR NA
C17 IO_L5P_T0_AD2P_15 0 15 NA NA HR NA
C18 IO_L5N_T0_AD2N_15 0 15 NA NA HR NA
D15 IO_L6P_T0_15 0 15 NA NA HR NA
D16 IO_L6N_T0_VREF_15 0 15 NA NA HR NA
H16 IO_L7P_T1_AD10P_15 1 15 NA NA HR NA
G16 IO_L7N_T1_AD10N_15 1 15 NA NA HR NA
G15 IO_L8P_T1_AD3P_15 1 15 NA NA HR NA
F15 IO_L8N_T1_AD3N_15 1 15 NA NA HR NA
J15 IO_L9P_T1_DQS_AD11P_15 1 15 NA NA HR NA
J16 IO_L9N_T1_DQS_AD11N_15 1 15 NA NA HR NA
E15 IO_L10P_T1_AD4P_15 1 15 NA NA HR NA
E16 IO_L10N_T1_AD4N_15 1 15 NA NA HR NA
G17 IO_L11P_T1_SRCC_AD12P_15 1 15 NA NA HR NA
F18 IO_L11N_T1_SRCC_AD12N_15 1

15 NA NA HR NA
F17 IO_L12P_T1_MRCC_AD5P_15 1 15 NA NA HR NA
E17 IO_L12N_T1_MRCC_AD5N_15 1 15 NA NA HR NA
E18 IO_L13P_T2_MRCC_15 2 15 NA NA HR NA
D18 IO_L13N_T2_MRCC_15 2 15 NA NA HR NA
H17 IO_L14P_T2_SRCC_15 2 15 NA NA HR NA
H18 IO_L14N_T2_SRCC_15 2 15 NA NA HR NA
D19 IO_L15P_T2_DQS_15 2 15 NA NA HR NA
D20 IO_L15N_T2_DQS_ADV_B_15 2 15 NA NA HR NA
G19 IO_L16P_T2_A28_15 2 15 NA NA HR NA
F20 IO_L16N_T2_A27_15 2 15 NA NA HR NA
F19 IO_L17P_T2_A26_15 2 15 NA NA HR NA
E20 IO_L17N_T2_A25_15 2 15 NA NA HR NA
H19 IO_L18P_T2_A24_15 2 15 NA NA HR NA
G20 IO_L18N_T2_A23_15 2 15 NA NA HR NA
K20 IO_L19P_T3_A22_15 3 15 NA NA HR NA
J20 IO_L19N_T3_A21_VREF_15 3 15 NA NA HR NA
J18 IO_L20P_T3_A20_15 3 15 NA NA HR NA
J19 IO_L20N_T3_A19_15 3 15 NA NA HR NA
L19 IO_L21P_T3_DQS_15 3 15 NA NA HR NA
L20 IO_L21N_T3_DQS_A18_15 3 15 NA NA HR NA
K16 IO_L22P_T3_A17_15 3 15 NA NA HR NA
K17 IO_L22N_T3_A16_15 3 15 NA NA HR NA
M17 IO_L23P_T3_FOE_B_15 3 15 NA NA HR NA
L18 IO_L23N_T3_FWE_B_15 3 15 NA NA HR

NA
L17 IO_L24P_T3_RS1_15 3 15 NA NA HR NA
K18 IO_L24N_T3_RS0_15 3 15 NA NA HR NA
M16 IO_25_15 NA 15 NA NA HR NA
J8 IO_0_16 NA 16 NA NA HR NA
H9 IO_L1P_T0_16 0 16 NA NA HR NA
H8 IO_L1N_T0_16 0 16 NA NA HR NA
G10 IO_L2P_T0_16 0 16 NA NA HR NA
G9 IO_L2N_T0_16 0 16 NA NA HR NA
J13 IO_L3P_T0_DQS_16 0 16 NA NA HR NA
H13 IO_L3N_T0_DQS_16 0 16 NA NA HR NA
J11 IO_L4P_T0_16 0 16 NA NA HR NA
J10 IO_L4N_T0_16 0 16 NA NA HR NA
H14 IO_L5P_T0_16 0 16 NA NA HR NA
G14 IO_L5N_T0_16 0 16 NA NA HR NA
H12 IO_L6P_T0_16 0 16 NA NA HR NA
H11 IO_L6N_T0_VREF_16 0 16 NA NA HR NA
F9 IO_L7P_T1_16 1 16 NA NA HR NA
F8 IO_L7N_T1_16 1 16 NA NA HR NA
D9 IO_L8P_T1_16 1 16 NA NA HR NA
D8 IO_L8N_T1_16 1 16 NA NA HR NA
A9 IO_L9P_T1_DQS_16 1 16 NA NA HR NA
A8 IO_L9N_T1_DQS_16 1 16 NA NA HR NA
C9 IO_L10P_T1_16 1 16 NA NA HR NA
B9 IO_L10N_T1_16 1 16 NA NA HR NA
G11 IO_L11P_T1_SRCC_16 1 16

NA NA HR NA
F10 IO_L11N_T1_SRCC_16 1 16 NA NA HR NA
E10 IO_L12P_T1_MRCC_16 1 16 NA NA HR NA
D10 IO_L12N_T1_MRCC_16 1 16 NA NA HR NA
C12 IO_L13P_T2_MRCC_16 2 16 NA NA HR NA
C11 IO_L13N_T2_MRCC_16 2 16 NA NA HR NA
E11 IO_L14P_T2_SRCC_16 2 16 NA NA HR NA
D11 IO_L14N_T2_SRCC_16 2 16 NA NA HR NA
F14 IO_L15P_T2_DQS_16 2 16 NA NA HR NA
F13 IO_L15N_T2_DQS_16 2 16 NA NA HR NA
G12 IO_L16P_T2_16 2 16 NA NA HR NA
F12 IO_L16N_T2_16 2 16 NA NA HR NA
D14 IO_L17P_T2_16 2 16 NA NA HR NA
D13 IO_L17N_T2_16 2 16 NA NA HR NA
E13 IO_L18P_T2_16 2 16 NA NA HR NA
E12 IO_L18N_T2_16 2 16 NA NA HR NA
C14 IO_L19P_T3_16 3 16 NA NA HR NA
C13 IO_L19N_T3_VREF_16 3 16 NA NA HR NA
B12 IO_L20P_T3_16 3 16 NA NA HR NA
B11 IO_L20N_T3_16 3 16 NA NA HR NA
B14 IO_L21P_T3_DQS_16 3 16 NA NA HR NA
A14 IO_L21N_T3_DQS_16 3 16 NA NA HR NA
B10 IO_L22P_T3_16 3 16 NA NA HR NA
A10 IO_L22N_T3_16 3 16 NA NA HR NA
B15 IO_L23P_T3_16 3 16 NA NA HR NA
A15 IO_L23N_T

3_16 3 16 NA NA HR NA
A13 IO_L24P_T3_16 3 16 NA NA HR NA
A12 IO_L24N_T3_16 3 16 NA NA HR NA
J14 IO_25_16 NA 16 NA NA HR NA
V13 NC NA NOPAD/UNCONNECTED NA NA NA NA
AE17 NC NA NOPAD/UNCONNECTED NA NA NA NA
AF17 NC NA NOPAD/UNCONNECTED NA NA NA NA
AF14 NC NA NOPAD/UNCONNECTED NA NA NA NA
AF15 NC NA NOPAD/UNCONNECTED NA NA NA NA
AE18 NC NA NOPAD/UNCONNECTED NA NA NA NA
AF18 NC NA NOPAD/UNCONNECTED NA NA NA NA
AD15 NC NA NOPAD/UNCONNECTED NA NA NA NA
AE15 NC NA NOPAD/UNCONNECTED NA NA NA NA
AF19 NC NA NOPAD/UNCONNECTED NA NA NA NA
AF20 NC NA NOPAD/UNCONNECTED NA NA NA NA
AD16 NC NA NOPAD/UNCONNECTED NA NA NA NA
AE16 NC NA NOPAD/UNCONNECTED NA NA NA NA
AA14 NC NA NOPAD/UNCONNECTED NA NA NA NA
AA15 NC NA NOPAD/UNCONNECTED NA NA NA NA
AC14 NC NA NOPAD/UNCONNECTED NA NA NA NA
AD14 NC NA NOPAD/UNCONNECTED NA NA NA NA
Y15 NC NA NOPAD/UNCONNECTED NA NA NA NA
Y16 NC NA NOPAD/UNCONNECTED NA NA NA NA
AB14 NC NA NOPAD/UNCONNECTED NA NA NA NA
AB15 NC NA NOPAD/UNCONNECTED NA

NA NA NA
AA17 NC NA NOPAD/UNCONNECTED NA NA NA NA
AA18 NC NA NOPAD/UNCONNECTED NA NA NA NA
AB16 NC NA NOPAD/UNCONNECTED NA NA NA NA
AC16 NC NA NOPAD/UNCONNECTED NA NA NA NA
AC18 NC NA NOPAD/UNCONNECTED NA NA NA NA
AD18 NC NA NOPAD/UNCONNECTED NA NA NA NA
AB17 NC NA NOPAD/UNCONNECTED NA NA NA NA
AC17 NC NA NOPAD/UNCONNECTED NA NA NA NA
AD20 NC NA NOPAD/UNCONNECTED NA NA NA NA
AE20 NC NA NOPAD/UNCONNECTED NA NA NA NA
AA19 NC NA NOPAD/UNCONNECTED NA NA NA NA
AA20 NC NA NOPAD/UNCONNECTED NA NA NA NA
AC19 NC NA NOPAD/UNCONNECTED NA NA NA NA
AD19 NC NA NOPAD/UNCONNECTED NA NA NA NA
AB19 NC NA NOPAD/UNCONNECTED NA NA NA NA
AB20 NC NA NOPAD/UNCONNECTED NA NA NA NA
Y17 NC NA NOPAD/UNCONNECTED NA NA NA NA
Y18 NC NA NOPAD/UNCONNECTED NA NA NA NA
V16 NC NA NOPAD/UNCONNECTED NA NA NA NA
V17 NC NA NOPAD/UNCONNECTED NA NA NA NA
W18 NC NA NOPAD/UNCONNECTED NA NA NA NA
W19 NC NA NOPAD/UNCONNECTED NA NA NA NA
W15 NC NA NOPAD/UNCONNECTED NA NA NA NA
W16 NC NA NOPAD/UNCONNECTED NA NA NA NA
V18 NC N

A NOPAD/UNCONNECTED NA NA NA NA
V19 NC NA NOPAD/UNCONNECTED NA NA NA NA
V14 NC NA NOPAD/UNCONNECTED NA NA NA NA
W14 NC NA NOPAD/UNCONNECTED NA NA NA NA
W13 NC NA NOPAD/UNCONNECTED NA NA NA NA
U9 IO_0_VRN_33 NA 33 VCCAUX NA HP NA
V11 IO_L1P_T0_33 0 33 VCCAUX NA HP NA
W11 IO_L1N_T0_33 0 33 VCCAUX NA HP NA
V8 IO_L2P_T0_33 0 33 VCCAUX NA HP NA
V7 IO_L2N_T0_33 0 33 VCCAUX NA HP NA
W10 IO_L3P_T0_DQS_33 0 33 VCCAUX NA HP NA
W9 IO_L3N_T0_DQS_33 0 33 VCCAUX NA HP NA
Y8 IO_L4P_T0_33 0 33 VCCAUX NA HP NA
Y7 IO_L4N_T0_33 0 33 VCCAUX NA HP NA
Y11 IO_L5P_T0_33 0 33 VCCAUX NA HP NA
Y10 IO_L5N_T0_33 0 33 VCCAUX NA HP NA
V9 IO_L6P_T0_33 0 33 VCCAUX NA HP NA
W8 IO_L6N_T0_VREF_33 0 33 VCCAUX NA HP NA
AE7 IO_L7P_T1_33 1 33 VCCAUX NA HP NA
AF7 IO_L7N_T1_33 1 33 VCCAUX NA HP NA
AA8 IO_L8P_T1_33 1 33 VCCAUX NA HP NA
AA7 IO_L8N_T1_33 1 33 VCCAUX NA HP NA
AC8 IO_L9P_T1_DQS_33 1 33 VCCAUX NA HP NA
AD8 IO_L9N_T1_DQS_33 1 33 VCCAUX NA HP NA
AB7 IO_L10P_T1_33 1 33 VCCAUX NA H

P NA
AC7 IO_L10N_T1_33 1 33 VCCAUX NA HP NA
AA9 IO_L11P_T1_SRCC_33 1 33 VCCAUX NA HP NA
AB9 IO_L11N_T1_SRCC_33 1 33 VCCAUX NA HP NA
AC9 IO_L12P_T1_MRCC_33 1 33 VCCAUX NA HP NA
AD9 IO_L12N_T1_MRCC_33 1 33 VCCAUX NA HP NA
AB11 IO_L13P_T2_MRCC_33 2 33 VCCAUX NA HP NA
AC11 IO_L13N_T2_MRCC_33 2 33 VCCAUX NA HP NA
AA10 IO_L14P_T2_SRCC_33 2 33 VCCAUX NA HP NA
AB10 IO_L14N_T2_SRCC_33 2 33 VCCAUX NA HP NA
AB12 IO_L15P_T2_DQS_33 2 33 VCCAUX NA HP NA
AC12 IO_L15N_T2_DQS_33 2 33 VCCAUX NA HP NA
AA13 IO_L16P_T2_33 2 33 VCCAUX NA HP NA
AA12 IO_L16N_T2_33 2 33 VCCAUX NA HP NA
AC13 IO_L17P_T2_33 2 33 VCCAUX NA HP NA
AD13 IO_L17N_T2_33 2 33 VCCAUX NA HP NA
Y13 IO_L18P_T2_33 2 33 VCCAUX NA HP NA
Y12 IO_L18N_T2_33 2 33 VCCAUX NA HP NA
AD11 IO_L19P_T3_33 3 33 VCCAUX NA HP NA
AE11 IO_L19N_T3_VREF_33 3 33 VCCAUX NA HP NA
AD10 IO_L20P_T3_33 3 33 VCCAUX NA HP NA
AE10 IO_L20N_T3_33 3 33 VCCAUX NA HP NA
AE12 IO_L21P_T3_DQS_33 3 33 VCCAUX NA HP NA
AF12 IO_L21N_T3_DQS_33 3 33 VCCAUX NA HP NA
AE8 IO_L22P_T3_33 3 33 VCCAUX NA HP NA
AF8 IO_L22N_T3_33 3 33

VCCAUX NA HP NA
AE13 IO_L23P_T3_33 3 33 VCCAUX NA HP NA
AF13 IO_L23N_T3_33 3 33 VCCAUX NA HP NA
AF10 IO_L24P_T3_33 3 33 VCCAUX NA HP NA
AF9 IO_L24N_T3_33 3 33 VCCAUX NA HP NA
V12 IO_25_VRP_33 NA 33 VCCAUX NA HP NA
U4 IO_0_VRN_34 NA 34 VCCAUX NA HP NA
U6 IO_L1P_T0_34 0 34 VCCAUX NA HP NA
U5 IO_L1N_T0_34 0 34 VCCAUX NA HP NA
U2 IO_L2P_T0_34 0 34 VCCAUX NA HP NA
U1 IO_L2N_T0_34 0 34 VCCAUX NA HP NA
W6 IO_L3P_T0_DQS_34 0 34 VCCAUX NA HP NA
W5 IO_L3N_T0_DQS_34 0 34 VCCAUX NA HP NA
V3 IO_L4P_T0_34 0 34 VCCAUX NA HP NA
W3 IO_L4N_T0_34 0 34 VCCAUX NA HP NA
U7 IO_L5P_T0_34 0 34 VCCAUX NA HP NA
V6 IO_L5N_T0_34 0 34 VCCAUX NA HP NA
V4 IO_L6P_T0_34 0 34 VCCAUX NA HP NA
W4 IO_L6N_T0_VREF_34 0 34 VCCAUX NA HP NA
Y3 IO_L7P_T1_34 1 34 VCCAUX NA HP NA
Y2 IO_L7N_T1_34 1 34 VCCAUX NA HP NA
V2 IO_L8P_T1_34 1 34 VCCAUX NA HP NA
V1 IO_L8N_T1_34 1 34 VCCAUX NA HP NA
AB1 IO_L9P_T1_DQS_34 1 34 VCCAUX NA HP NA
AC1 IO_L9N_T1_DQS_34 1 34 VCCAUX NA HP NA
W1 IO_

L10P_T1_34 1 34 VCCAUX NA HP NA
Y1 IO_L10N_T1_34 1 34 VCCAUX NA HP NA
AB2 IO_L11P_T1_SRCC_34 1 34 VCCAUX NA HP NA
AC2 IO_L11N_T1_SRCC_34 1 34 VCCAUX NA HP NA
AA3 IO_L12P_T1_MRCC_34 1 34 VCCAUX NA HP NA
AA2 IO_L12N_T1_MRCC_34 1 34 VCCAUX NA HP NA
AA4 IO_L13P_T2_MRCC_34 2 34 VCCAUX NA HP NA
AB4 IO_L13N_T2_MRCC_34 2 34 VCCAUX NA HP NA
AC4 IO_L14P_T2_SRCC_34 2 34 VCCAUX NA HP NA
AC3 IO_L14N_T2_SRCC_34 2 34 VCCAUX NA HP NA
AA5 IO_L15P_T2_DQS_34 2 34 VCCAUX NA HP NA
AB5 IO_L15N_T2_DQS_34 2 34 VCCAUX NA HP NA
AB6 IO_L16P_T2_34 2 34 VCCAUX NA HP NA
AC6 IO_L16N_T2_34 2 34 VCCAUX NA HP NA
Y6 IO_L17P_T2_34 2 34 VCCAUX NA HP NA
Y5 IO_L17N_T2_34 2 34 VCCAUX NA HP NA
AD6 IO_L18P_T2_34 2 34 VCCAUX NA HP NA
AD5 IO_L18N_T2_34 2 34 VCCAUX NA HP NA
AD4 IO_L19P_T3_34 3 34 VCCAUX NA HP NA
AD3 IO_L19N_T3_VREF_34 3 34 VCCAUX NA HP NA
AD1 IO_L20P_T3_34 3 34 VCCAUX NA HP NA
AE1 IO_L20N_T3_34 3 34 VCCAUX NA HP NA
AF5 IO_L21P_T3_DQS_34 3 34 VCCAUX NA HP NA
AF4 IO_L21N_T3_DQS_34 3 34 VCCAUX NA HP NA
AE3 IO_L22P_T3_34 3 34 VCCAUX

NA HP NA
AE2 IO_L22N_T3_34 3 34 VCCAUX NA HP NA
AE6 IO_L23P_T3_34 3 34 VCCAUX NA HP NA
AE5 IO_L23N_T3_34 3 34 VCCAUX NA HP NA
AF3 IO_L24P_T3_34 3 34 VCCAUX NA HP NA
AF2 IO_L24N_T3_34 3 34 VCCAUX NA HP NA
T7 IO_25_VRP_34 NA 34 VCCAUX NA HP NA
H2 MGTXTXP3_115 NA 115 NA NA GTX NA
J4 MGTXRXP3_115 NA 115 NA NA GTX NA
H1 MGTXTXN3_115 NA 115 NA NA GTX NA
J3 MGTXRXN3_115 NA 115 NA NA GTX NA
K2 MGTXTXP2_115 NA 115 NA NA GTX NA
L4 MGTXRXP2_115 NA 115 NA NA GTX NA
K1 MGTXTXN2_115 NA 115 NA NA GTX NA
H6 MGTREFCLK0P_115 NA 115 NA NA GTX NA
L3 MGTXRXN2_115 NA 115 NA NA GTX NA
M5 MGTAVTTRCAL_115 NA 115 NA NA GTX NA
H5 MGTREFCLK0N_115 NA 115 NA NA GTX NA
M6 MGTRREF_115 NA 115 NA NA GTX NA
K5 MGTREFCLK1N_115 NA 115 NA NA GTX NA
K6 MGTREFCLK1P_115 NA 115 NA NA GTX NA
M2 MGTXTXP1_115 NA 115 NA NA GTX NA
N4 MGTXRXP1_115 NA 115 NA NA GTX NA
M1 MGTXTXN1_115 NA 115 NA NA GTX NA
N3 MGTXRXN1_115 NA 115 NA NA GTX NA
P2 MGTXTXP0_115

NA 115 NA NA GTX NA
R4 MGTXRXP0_115 NA 115 NA NA GTX NA
P1 MGTXTXN0_115 NA 115 NA NA GTX NA
R3 MGTXRXN0_115 NA 115 NA NA GTX NA
A4 MGTXTXP3_116 NA 116 NA NA GTX NA
B6 MGTXRXP3_116 NA 116 NA NA GTX NA
A3 MGTXTXN3_116 NA 116 NA NA GTX NA
B5 MGTXRXN3_116 NA 116 NA NA GTX NA
B2 MGTXTXP2_116 NA 116 NA NA GTX NA
C4 MGTXRXP2_116 NA 116 NA NA GTX NA
B1 MGTXTXN2_116 NA 116 NA NA GTX NA
D6 MGTREFCLK0P_116 NA 116 NA NA GTX NA
C3 MGTXRXN2_116 NA 116 NA NA GTX NA
D5 MGTREFCLK0N_116 NA 116 NA NA GTX NA
F5 MGTREFCLK1N_116 NA 116 NA NA GTX NA
F6 MGTREFCLK1P_116 NA 116 NA NA GTX NA
D2 MGTXTXP1_116 NA 116 NA NA GTX NA
E4 MGTXRXP1_116 NA 116 NA NA GTX NA
D1 MGTXTXN1_116 NA 116 NA NA GTX NA
E3 MGTXRXN1_116 NA 116 NA NA GTX NA
F2 MGTXTXP0_116 NA 116 NA NA GTX NA
G4 MGTXRXP0_116 NA 116 NA NA GTX NA
F1 MGTXTXN0_116 NA 116 NA NA GTX NA
G3 MGTXRXN0_116 NA 116 NA NA GTX NA
N13 VCCBRAM NA NA NA NA

NA NA
R13 VCCBRAM NA NA NA NA NA NA
T12 VCCBRAM NA NA NA NA NA NA
U13 VCCBRAM NA NA NA NA NA NA
C6 MGTAVCC NA NA NA NA NA NA
E6 MGTAVCC NA NA NA NA NA NA
G6 MGTAVCC NA NA NA NA NA NA
J6 MGTAVCC NA NA NA NA NA NA
L6 MGTAVCC NA NA NA NA NA NA
B3 MGTAVTT NA NA NA NA NA NA
C2 MGTAVTT NA NA NA NA NA NA
D3 MGTAVTT NA NA NA NA NA NA
G2 MGTAVTT NA NA NA NA NA NA
H3 MGTAVTT NA NA NA NA NA NA
L2 MGTAVTT NA NA NA NA NA NA
M3 MGTAVTT NA NA NA NA NA NA
N6 MGTVCCAUX NA NA NA NA NA NA
A1 GND NA NA NA NA NA NA
A2 GND NA NA NA NA NA NA
A5 GND NA NA NA NA NA NA
A6 GND NA NA NA NA NA NA
A7 GND NA NA NA NA NA NA
A16 GND NA NA NA NA NA NA
A26 GND NA NA NA NA NA NA
AA6 GND NA NA NA NA NA NA
AA16 GND NA

相关文档
相关文档 最新文档