文档库 最新最全的文档下载
当前位置:文档库 › 数字电子技术期中试题及答案

数字电子技术期中试题及答案

数字电子技术期中试题及答案
数字电子技术期中试题及答案

西南交通大学2013-2014学年第(2)学期期中试卷

课程代码3143250课程名称数字电子技术A 考试时间100分钟

1. 【15分】对以下门电路,输入为A ,B ,输出为Y 。画出电路的国际通用符号和国标符号、写出逻辑表达式、列出真值表。 a. 2输入或非门 b. 2输入异或门 c. 2输入同或门

????????

2.【12分】某电路的真值表如右侧表格。 a. 写出输出Y 的逻辑表达式;

∑m (0,1,2,3,8,10,14)

∑d(12,13,15)

班 级学 号姓 名

密封装订线密封装订线密封装订线

b. 用卡诺图化简,写出Y的最简表达式;

Y A?B?AD?

c. 画出用基本逻辑门实现的电路图;

3.【16分】一种温度码的编码规则是,数值为k时对应M位温度码,其中低k位是1,余下的高M-k位是0,k与温度有关。设计一个3-7二进制-温度码转换器,输入为3位二进制数,输出为7位温度码。例如,如果输入110,该转换器的输出是0111111。

a. 列出3-7二进制-温度码转换器的真值表;

设输入k用A

b. 写出每个输出端的最简逻辑表达式。

K6210

K521

K420212( 01)

K32

K2210

K121

K0210

4.【4分】写出下图所示电路的逻辑表达式(不需要化简)。

S C?D?

Y A?B?S(A?B AB?)A?B?C?D?(A?B AB?)

5. 【5分】下列是8-3的编码器的Verilog HDL代码,试指出其中的错误并改正。

module coder8_3 (in, out);

input [7:0] in;

output [2:0] out;

reg[2:0] out; //行为级过程赋值语句只能个寄存器型变量赋值

always@(in)

begin

case(in)

8’b11111110:out=0; //(虽然没错,但是最好采用指明位宽的表示方法,如3'o 8’b11111101:out=1;

8’b11111011:out=2;

8’b11110111:out=3;

8’b11101111:out=4;

8’b11011111:out=5;

8’b10111111:out=6;

8’b01111111:out=7;

default: out=.'..; //设定默认值,否则进入非预设状态后取值混乱例如3'ox, 3'o0等endcase

end //begin

endmodule

6. 【26分】电路如图所示,使用Verilog HDL 描述电路。

a. 写出电路的门级描述代码;

module mux4_1 (out,D0, D1, D2, D3,S0, S1) input D0, D1, D2, D3; input S0, S1; output out;

wireN0, N1, Y0, Y1, Y2, Y3; not not0(N0, S0); not1(N1, S1);

and and0(Y0, D0, N1, N0), and1(Y1, D1, N1, S0), and2(Y2, D2, S1, N0), and3(Y3, D3,S1, S0), or or0(out, Y0, Y1, Y2, Y3); endmodule

b. 写出电路的数据流级描述代码; 法(1)

module mux4_1 (out,D0, D1, D2, D3,S0, S1) input D0, D1, D2, D3; input S0, S1; output out;

assign out=(D0&~S1&~S0)| (D1&~S1&S0)| (D2&S1&~S0)| (D3&S1&S0) endmodule

法(2)

module mux4_1 (out,D0, D1, D2, D3,S0, S1) input D0, D1, D2, D3; input S0, S1; output out;

assign out=S1?(S0?D3:D2):(S0?D1:D0); endmodule

法(3)

module mux4_1 (out,D0, D1, D2, D3,S0, S1) input D0, D1, D2, D3; input S0, S1; output out;

assign out=S0?(S1?D3:D1):(S1?D2:D0); endmodule

c. 写出电路的行为级描述代码。module mux4_1 (out,D0, D1, D2, D3,S0, S1) input D0, D1, D2, D3;

input S0, S1;

output out;

reg out;

always@(D0 or D1 or D2 or D3 or S0 or S1) begin

if ({S1,S0}=2'b00) out=D0;

elseif ({S1,S0}=2'b01) out=D1;

elseif ({S1,S0}=2'b10) out=D2;

elseif ({S1,S0}=2'b11) out=D3;

else out=1'bx;

end

endmodule

(或者用case语句实现)

case({S1, S0})

2’b00:out=D0;

2’b01:out=D1;

2’b10:out=D2;

2’b11:out=D3;

default: out=1'bx;

endcase

7. 【6分】假设一种WZ 触发器的特性表如下所示。

a. 写出该触发器的特性方程:Q n+1=______________;(此题有多种答案)

Q n+1 W ?ZQ n WZ Q

n ????? WZ W ?ZQ n WZ Q

n ????? WZQ n WZ Q n ????? ZQ n W Q n ????? b. 列出该触发器的激励表。

8. 【16分】电路如图所示。

a . 写出电路的状态方程和输出方程;

b .画电路的状态转换图,标明输入输出;

c .说明电路实现的逻辑功能。

(1.1).激励方程

000110110D Q EN Q EN

D Q EN Q Q EN Q Q EN

=+=++

(1.2).状态方程: Q 0n+1 D 0 Q 0n EN ???? Q 0n ????EN (1) Q 1n+1 D 1 Q 1n EN ???? Q 1n ????Q 0n EN Q 1n Q 0n ????EN (2)

(1.3)输出方程0

1Q Q EN MAX =(3)

(2).状态转换图: 根据式(1)~(3)分析

当EN=0时 MAX=0, Q 0n+1 Q 0n ,Q 1n+1 Q 1n 保持态

当EN=1时M X Q 0Q 1, Q 0n+1 Q 0n ???? (反转) , Q 1n+1 D 1 Q 1n ????Q 0n Q 1n Q 0n ???? Q 1n ⊕Q 0n (异或)

(3).电路的逻辑功能:模4加计数器,EN 为使能, MAX 为进位/计满信号。

00

10

01

11

1/10/0

1/0

0/0

1/0

0/00/0

1/0

《数字电路》期末模拟试题及答案

. 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1= 2Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

(完整版)数字电路期中考试试卷167101

2014—2015学年度《数电》期中考试试卷 班别 姓名: 学号: 题 号 一 二 三 四 五 总 分 得 分 一、 填空题(每空1分,共25分) 1、常用数制有十进制、 、 等。 2、在逻辑代数中,A+1= ;B+B = 。 3、数字电路的基本逻辑关系有 、 、 ,基本逻辑运算有 、 、 。 4、逻辑代数中的变量只有 和 两种取值。 5、(123.75)10= ( )2 6、(1010110010011)2= ( )16 7、(10110)2=( )10 8、数字电路中基本逻辑门是 、 、 。常用的复合门电路有 、 、 、 。 9、与非门实现的逻辑功能为 。异或门实现的逻辑功能是 。 10、如果把两输入与非门的两个输入端连在一起使用,它将成为一个 门。 二、 选择题(每题2分,共20分) 1、逻辑代数中的摩根定律可表示为C B A ??=( )。 A 、C B A ++ B 、A ·B · C C 、A +B +C D 、A +B ·C 2、有10101的二进制代码,表示十进制数为( )。 A 、11 B 、21 C 、25 D 、17 — 3、图中这个电路实现什么功能( ) A 、Y=1 B 、Y=0 C 、Y=A D 、Y= A 4、模拟电路与脉冲电路的不同在于( ) 模拟电路的晶体管多工作在开关状态 脉冲电路的晶体管多工作在饱和状态 模拟电路的晶体管多工作在截止状态 脉冲电路的晶体管多工作在开关状态 ≥1 A Y

5、若逻辑函数L=A+ABC+BC+B C,则L可化简为() A、L=A+BC B、L=A+C C、L=AB+B C D、L=A 6、在何种输入情况下,“或非”运算的结果是逻辑0,不正确的是( ) A.全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1,其他输入为0。 7、.一位十六进制数可以用多少位二进制数来表示?() A.1 B.2 C.4 D. 16 8、以下表达式中符合逻辑运算法则的是() A.C·C=C2 B.1+1=10 C.0<1 D.A+1=1 9、四位16进制数最大的数是() A.1111 B .7777 C. FFFF D 都不是 10、以下表达式中符合逻辑运算法则的是()

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

数字电子技术模拟试题4

泰山学院课程考试专用 《数字电子技术》模拟试题 4 (试卷共8页,答题时间120分钟) 一、填空题(每空 1分,共 20 分。) 1、(33)10=( )16=( )2 2、若各门电路的输入均为A 和B ,且A=0,B=1;则与非门的输出为_________,或非门的输出为___ ___,同或门的输出为__ __。 3、一个数字信号只有 种取值,分别表示为 和 。 4、一个三态门如图1.4, 当E ′=__________时,Y=)('AB 。 5、某EPROM 有8位数据线、13位地址线,则其存储容量为 位。 6、若要构成七进制计数器,最少用 个触发器,它有 个无效状态。 7、多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 8、A/D 转换的一般步骤包括 、 、 和 。 9、欲将触发器置为“1”态,应使D R '= , D S '= 。 二、选择题(每题 2分,共 20 分。请将答案填在下面的表格内)1、在不影响逻辑功能的情况下,CMOS 与非门的多余输入端可_______。 A.接高电平 B.接低电平 C.悬空 D.通过大电阻接地 2、下图中,满足Q * =1 的触发器是_____________。

3、由四个触发器构成十进制计数器,其无效状态有__________。 A.四个 B.五个 C.六个 D.十个 4、以下电路中,欲获得一个数字系统的时钟脉冲源,应采用____________。 A .D 触发器 B.多谐振荡器 C.单稳态触发器 D.施密特触发器 5、逻辑代数中有3种基本运算: 、 和 。 A. 或非,与或,与或非 B. 与非,或非,与或非 C. 与非,或,与或 D. 与,或,非 6、用555定时器构成的施密特触发器的回差电压可表示为 。 A. cc V 3 1 https://www.wendangku.net/doc/df7143424.html, V 3 2 C. V cc D. cc V 4 3 7、在下列门电路中,输出端不可以并联使用的是 。 A. 三态门 B.集电极开路门(OC 门) C.具有推挽输出结构的TTL 门电路 D.CMOS 传输门 8、某A/D 转换器有8路模拟信号输入,若8路正弦输入信号的频率分别为1KHz ,…,8KHz ,则该A/D 转换器的采样频率f s 的取值应为 。 A. f s ≤1KHz B. f s =8KHz C. f s ≥16KHz D. f s ≥2KHz 9、四位环形计数器的有效状态有 个。 A. 2 B. 4 C. 6 D. 8 10、下列电路中不属于时序逻辑电路的是 。 A.计数器 B. 全加器 C.寄存器 D.分频器 1、Y 1=A )('BC +AB C ' 2、Y 2(A ,B ,C ,D )=∑m (1,3,5,7,8,9)+∑d(11,12,13,15)四、1、电路如图4.1(a)所示,各电路的CP 、A 、B 、C 波形如图(b )所示。

数字电子技术期中考试试卷

一、判断题(10分) 1、若1AC =+C B ,则A+B =1 2、若A+B =A+C ,则B =C 3、=⊕⊕C B A A ⊙B ⊙C 4、n 个变量可组成2n 个最小项,对于变量的任意一组取值必有1=?j i m m (i ≠ j ) 5、一个最简的逻辑式,实现的器件不一定是最少的 6、一个输入端的与非门和一个输入端的或非门的功能是相同的 7、一般TTL 门电路的输出端可以直接相连,实现线与 8、欲将异或门作反相器使用,多余输入端直接接高电平 9、并行加法器采用超前进位的目的是简化电路结构。 10、组合逻辑电路中的竞争冒险现象是由于输入信号经不同路径到达输出端的时延不同而引起的。 二、填空题(20分) 1、十进制数5.625化为十六进制为 ,二进制数为 八进制数为 2、二进制1011000化为8421BCD 码为 ,余3码为 3、逻辑代数的三条重要规则是指____ 、____和____ 4、AB+A C+BC=AB+A C 的对偶式为 。 5、逻辑函数F=A +B+C D 的反函数F = 6、集电极开路门的英文缩写为 门,工作时必须外加 和 。 7、在TTL 三态门、OC 门、与非门和异或门电路中,能实现线与功能的门电路有____、____ 8、TTL 与非门的灌电流负载发生在输出 电平情况下,负载电流越大,则输出电平越 9、消除竟争冒险的方法有 、 、 等 三、化简(每小题5分) 1、用代数化简(1)C B A C B A C B A Y ++= (2)ABC CD C A D AC Y +++= 2、用卡诺图化简(1)D C B A C B A D C B A C B A AC Y ++++= (2)C B B A B A ABC Y ++++= 四、设计一个数值比较器,该电路输入端接收两个二位二进制数A(A=A 2A 1)和B(B=B 2B 1) ,当A>B 时,输出Z 为1,否则Z 为0。(10分) 五、用门电路设计一个代码转换电路,将8421BCD 码转换为余3码,写出逻辑表达式,不必画出电路图。(10分)

数字电路期中试卷(答案)

数字电路期中试卷(答案)

第 2 页共 11 页

第 3 页共 11 页

第 4 页 共 11 页 三、简答题 (每小题5分,共25分) 1、用真值表证明等式:)(B A ⊕⊙A C =⊙)(C B ⊕ 证明:真值表如下: 由上述真值表(的后两列)知:)(B A ⊕⊙A C =⊙)(C B ⊕ 2、用代数法化 简函数: ADCBD D C B C B ABD D ABC L +++?+=)( 解: C B AB A C B A D A C B C AD AC B C B ABD ABC D C B C B ABD ABC D C B C B ABD ABCD D ABC ADCBD D C B C B ABD D ABC L +=+=++=++=++=+++=+++++=+++?+=)()()()()( 3、用卡诺图法化简函数: ∑∑+=)96,3,21()15,1312,111075,0(),,,(,,d ,,,,m D C B A R 解:画出卡诺图并化简,得

第 5 页 共 11 页 D C B C AB B A R +++= 4、分析下列功能表,说明其功能。 解:由功能表知: (1)功能表是4线-2线优先编码器的功能表; (2)此优先编码器有1个输入使能控制端E ,高电平有效; (3)有4个输入端,优先级别从3 I 、2I 、1 I 、0 I 依次降低,且为高电平有效; (4)有1个输出指示端GS ,低电平有效; (5)有2个输出端1Y 、0 Y ,高电平有效,权值分别为2、1。 (6)优先编码器的输出为: 321I I Y +=,3 210I I I Y +=,

数字电子技术模拟试题及答案

《数字电子技术》模拟试题 20分)一、填空题(每题2分,共 1511、十六进制数97 。,对应的十进制数为 0 时,输出为2”描述的是与运算的规则。、“至少有一个输入为 0 变量逻辑函数有16个最小项。、 4 3 运算。非和 4、基本逻辑运算有: 与、或 加器。半 5、两二进制数相加时,不考虑低位的进位信号是 电平。高 6、TTL器件输入脚悬空相当于输入 线、地址线和控制线。数据 7、RAM的三组信号线包括:位。最高8、 采用四位比较器对两个四位数比较时,先比较 15分)二、单项选择题(每个3分,共的国标逻辑符号中是异或门。B 1、图1 图1 C 。2、下列逻辑函数表达式中可能存在竞争冒险的是 B)(B?(A?C)F? B A )B?C)(?(A?BFF?(A?B)(B?C)F?(A?B)(B?C) D C 3、下面逻辑式中,不正确的是_ A___。 ABC?A?B?C B. A. A??ABA D. C. AA??B)A(BAAB?4、时序逻辑电路中必须 有___B___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码器 5、有S1,S2两个状态,条件 B 可以确定S1和S2不等价。 A. 输出相同次态不同D. 次态相同C. 输出不同 B. 10分)三、简答题(共A??B左边=(A?)(A?B)(?1A?A?B)?解:分) 1、(证明:

4B?BA?A?A12、某逻辑函数的真值表如表所示,画出卡诺图。(6分)某逻辑函数的真值表 1 表 F B A C 0 0 0 0 1 1 0 0 1 0 1 0 X 1 1 0 X 0 0 1 0 0 1 1 1 1 0 1 X 1 1 1 分)四、分析题(20 Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=CP↑;CP0=CP↑。 2)列出其驱动方程:(4分) Q1;K0==1 ;J0。Q0J1=;K1=1?Q?Q1或XX3)列出其输出方程:(1分)Z=XQ1Q0 n?1n?1?QQ1Q0Q?Q1?Q0?XQ1或Q1?Q0?XQ1Q04)求次态方程:4(分);10分)9)作状态表及状态图(5.

数字电子技术期末试题库

【数字电子技术】【试题库】 一、填空题 1.电子电路中的信号可分为两大类,即模拟信号和。 2.数字信号是时间上和上都不连续的信号。 3.十进制数176转换成二进制数为。 4.二进制数11010011转换成十进制数为。 5.所谓二-十进制编码,就是用若干位二进制码元按一定的规律排列起来表示十进制数的过程,也称为码。 6.目前,国际最通用的处理字母、专用符号和文字的二进制代码就是美国标准信息交换码,即码。 ?+?=。 7.二进制数的逻辑运算0111 8. 二进制数的逻辑运算11=。 ⊕=。 9. 二进制数的逻辑运算11 +?=。 10.利用逻辑代数公式,对右式进行化简,A A B ++?=。 11.利用逻辑代数公式,对右式进行化简,A B A B 12.逻辑代数的三条重要规则分别是代入规则、反演规则和。 13.由n个逻辑变量组成的不同最小项个数为个。 14.由n个变量组成的“与或”逻辑表达式,若其中每一项均是关于n个逻辑变量的最小项,则称这一表达式为。 15.利用卡诺图求解最简逻辑表达式时,需要画方格圈,其中有三条要求:将2n个值为1的方格划为一个方格圈,方格圈的数量应(越少/越多)越好,方格圈所含的方格数应(越少/越多)越好。 16.三极管作为开关元件,通常工作在截止区和。 17.集成门电路主要有TTL门电路和。 18.三态门电路的输出有高电平、低电平和共3种状态。 19.TTL集成门电路是由半导体构成的,由于它工作速度快,带负载和抗干扰能力强,因而在数字电路中应该广泛。 20.根据逻辑功能的不同特点,数字逻辑电路可以分为两大类:组合逻辑电路和。 21.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现干扰脉冲,从而导致逻辑电路产生错误输出的现象,称为。 22.触发器有两种稳定状态,即0状态和。 23.RS触发器由两个门电路首尾相连构成。 24.为了避免基本RS触发器输出存在不确定的情况,对其输入端设置了相应的约束条件是。

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器

三、(本题30分) 由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 C R R CC u o

(3)输出Z的序列是0010001100 第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答:1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。

数字电子技术模拟试题及答案

数字电子技术模拟试题及 答案 Prepared on 24 November 2020

《数字电子技术》模拟试题 一、填空题(每题2分,共20分) 1、十六进制数97,对应的十进制数为 (1) 。 2、“至少有一个输入为0时,输出为 (2) ”描述的是与运算的规则。 3、 (3) 变量逻辑函数有16个最小项。 4、基本逻辑运算有: (4) 、 (5) 和 (6) 运算。 5、两二进制数相加时,不考虑低位的进位信号是 (7) 加器。 6、TTL 器件输入脚悬空相当于输入 (8) 电平。 7、RAM 的三组信号线包括: (9) 线、地址线和控制线。 8、采用四位比较器对两个四位数比较时,先比较 (10) 位。 二、单项选择题(每个3分,共15分) 1、图1的国标逻辑符号中 (11) 是异或门。 图1 2、下列逻辑函数表达式中可能存在竞争冒险的是 (12) 。 A ))((C B B A F ++= B ))((C B B A F ++= C ))((C B B A F ++= D ))((C B B A F ++= 3、下面逻辑式中,不正确的是_ (13)____。 A.C B A ABC ??= B. A AB A += C. ()A A B A += D. AB BA = 4、时序逻辑电路中必须有___(14)___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码 器

5、有S1,S2两个状态,条件(15)可以确定S1和S2不等价。 A. 输出相同 B. 输出不同 C. 次态相同 D. 次态不同 三、简答题(共10分) 1、证明:B A+ = +(4分) A A B 2、某逻辑函数的真值表如表1所示,画出卡诺图。(6分) 表1 某逻辑函数的真值表 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 X 1 0 0 X 1 0 1 0 1 1 0 1 1 1 1 X 四、分析题(20分) Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=;CP0=。 2)列出其驱动方程:(4分) J1=;K1=;J0=;K0=。 3)列出其输出方程:(1分) Z=

数字电子技术期末考试题及答案(经典)

xxx~xxx学年第x学期 《数字电子技术》期末复习题 第一部分题目 一、判断题(每题2分,共30分。描述正确的在题号前的括号中打“√”,错误的打“×”)【】1、二进制有0 ~ 9十个数码,进位关系为逢十进一。 【】2、(325)8 >(225)10 【】3、十进制数整数转换为二进制数的方法是采用“除2取余法”。 【】4、在二进制与十六进制的转换中,有下列关系:(100111010001)2=(9D1)16 【】5、8421 BCD码是唯一能表示十进制数的编码。 【】6、十进制数85的8421 BCD码是101101。 【】7、格雷码为无权码,8421 BCD为有权码。 【】8、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。 【】9、逻辑变量的取值,1比0大。 【】10、在逻辑代数中,逻辑变量和函数均只有0和1两个取值,且不表示数量的大小。【】11、逻辑运算1+1=1 【】12、逻辑运算A+1+0=A 【】13、因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。 【】14、在时间和幅度上均不连续的信号是数字信号,所以语音信号是数字信号。 【】15、逻辑函数的运算次序为:先算括号内,后算括号外;先求与,再求或,最后求非。【】16、AB A C BC AB A C ++=+ 【】17、逻辑函数表达式的化简结果是唯一的。 【】18、逻辑真值表、逻辑表达式、逻辑图均是逻辑关系的描述方法。 【】19、n个变量组成的最小项总数是2n个。 【】20、逻辑函数的化简方法主要有代数化简法和卡诺图化简法。 【】21、逻辑函数化简过程中的无关项一律按取值为0处理。 【】22、数字电路中晶体管工作在开关状态,即不是工作在饱和区,就是工作在截止区。【】23、TTL或非门的多余输入端可以接高电平。 【】24、某一门电路有三个输入端A、B、C,当输入A、B、C不全为“1”时,输出Y为“0”,输入A、B、C全为高电平“1”时,输出Y为“1”,此门电路是或门电路。【】25、将三输入与非门中的两个输入端都接高电平,就可以实现非门功能。 【】26、基本的逻辑关系有与、或、非三种,其实现单元电路分别为与非门和或非门两种。【】27、CMOS门电路的输入电流大于TTL门电路的输入电流。 【】28、组合逻辑电路的基本组成单元是门电路。 【】29、组合电路没有记忆功能。 【】30、组合电路是一种具有记忆功能的逻辑电路。

数字电子技术基础期末考试试卷及答案1[1]

数字电子技术基础试题(一) 填空题: (每空1数字电子技术基础试题(一) 一、分,共10分) 1.(30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为12 条、数据线为 8 条。 二、选择题:(选择一个正确的答案填入括号内,每题3分,共30分) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。

2.下列几种TTL电路中,输出端可实现线与功能的电路是(D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、D、双积分A/D转换器 7.某电路的输入波形u I 和输出波形u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

数字电子技术基础期中考试卷

数字电子技术基础期中 考试卷 Document serial number【NL89WT-NY98YT-NC8CB-NNUUT-NUT108】

******2014—2015学年下学期 《数字电子技术基础》课程期中考试试卷 考试院系: ******** 考试日期: 2.对于JK 触发器,若K J =,则可完成 触发器的逻辑功能;若K J =,则可完成 触发器的逻辑功能。 3. 逻辑代数又称为布尔代数。最基本的逻辑关系有 、 、 三种。 4.逻辑函数F=A +B+C D 的反函数F = 。 5.逻辑函数F=A B C D +A+B+C+D= 。 6.OC 门称为集电极开路门,多个O C 门输出端并联到一起可实现 功能。 7.七段字符显示器的内部接法有两种形式:共 接法和共 接法。 8.消除竟争冒险的方法有 、 和引入选通脉冲等。 9.逻辑函数有四种常用的表示方法,它们分别是 、 、逻辑函数式和逻辑图 二、选择题(每题1分,共15分) 1.一位十六进制数可以用 位二进制数来表示。 A . 1 B . 2 C . 4 D . 16 2.下列触发器中没有约束条件的是 。 A. 基本RS 触发器 B. 钟控RS 触发器 C. 主从RS 触发器 D. 边沿JK 触发器

3.组合电路设计的结果一般是要得到 。 A. 逻辑电路图 B. 电路的逻辑功能 C. 电路的真值表 D. 逻辑函数式 4. 当逻辑函数有n 个变量时,共有 个变量取值组合 A. n B. 2n C. n 2 D. 2n 5. 逻辑函数的表示方法中具有唯一性的是 。 A .真值表 B.表达式 C.逻辑图 D.以上三种都是 6.逻辑函数F=)(B A A ⊕⊕ = 。 C.B A ⊕ D. B A ⊕ 7.在何种输入情况下,“与非”运算的结果是逻辑0。 A .全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 8.对于TT L 与非门闲置输入端的处理,不正确的是 。 A.接电源 B.通过电阻3k Ω接电源 C.接地 D.与有用输入端并联 9.下列表达式中不存在竞争冒险的有 。 =B +A B =A B+B C =AB C +A B =(A +B )A D 10.若在编码器中有50个编码对象,则要求输出二进制代码位数为 位。 .6 C 11.四选一数据选择器的数据输出Y 与数据输入Xi 和地址码A i 之间的逻辑表达式为Y = 。 A.3X A A X A A X A A X A A 01201101001+++ B.001X A A C.101X A A D.3X A A 01 12.在下列逻辑电路中,不是组合逻辑电路的有 。 A.译码器 B.编码器 C.全加器 D.寄存器 13.用四选一数据选择器实现函数Y =0101A A A A +,应使 。 =D 2=0,D 1=D 3=1 =D 2=1,D 1=D 3=0 =D 1=0,D 2=D 3=1 =D 1=1,D 2=D 3=0 14.比较两个一位二进制数A 和B ,当A=B 时输出F=1,则F 的表达式是 。 A 、F=A B B 、B A F = C 、B A D 、F=A ⊙B 15.逻辑函数F(A,B,C) = AB+BC+C A 的最小项标准式为 。 A 、F(A,B,C)=∑m(0,2,4) B 、F(A,B,C)=∑m(1,5,6,7) C 、F(A,B,C)=∑m (0,2,3,4) D 、F(A,B,C)=∑m(3,4,6,7)

数字电子技术——期中

xxxx 试卷第1页(共6页) 西北民族大学 数学与计算机科学学院(部)期中考试 数字电子技术试卷( A 卷) 专业: 课程代码: 学号: 姓 名: 一、单项选择题(在每小题的四个备选答案中,选出一个正确 的答案,并将其代码填入题干后的括号内。每小题2分,共20 分) 1.二进制数(10101.101)转换成十六进制数是 A .15.A B .A1.5 C .21.625 D. 以上答案都不对 2. 若 ABCDEFGH 为最小项,则它有逻辑相邻项个数为 A.8 B.82 C.28 D.16 3. 函数F=A'B+C'D 的反函数是 A.(A+B)(C+D) B.(A+B ')(C+D ') C. (A '+B)(C '+D) D. 以上答案都不对 4. 某电路的输入输出波形如图所示,该电路实现的逻辑运算是 A B F A. 或非 B. 同或 C.异或 D. 与非 5. A+BC= 。 A .A + B B.A + C C. B +C D.(A +B )(A +C ) 6.在何种输入情况下,“与非”运算的结果是逻辑0。 A .全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 7. 和TTL 电路相比,CMOS 电路最突出的优势在于( )

xxxx 试卷第2页(共6页) A.可靠性高 B.抗干扰能力强 C.速度快 D. 功耗低 8.当逻辑函数有n 个变量时,共有 个变量取值组合? A. n B. 2n C. 2n D. n 2 9.101键盘的编码器输出 位二进制代码。 A.2 B. 7 C. 6 D.8 10. 可以将输出端直接并联实现“线与”逻辑的门电路是 A. 集电极开路输出的TTL 门电路 B.推拉式输出结构的TTL 门电路 C.互补输出结构的COMS 门电路 D. 三态输出的门电路 二、填空题(每空2分,共10分) 指出图3中74系列TTL 门电路的输出状态(高电平在括号内填H ,低电平在括号内填L ,高阻态填Z ) 图 3 Y 1=( ) Y 2=( ) Y 3=( ) Y 4=( ) Y 5=( ) 三、按要求完成下列各题(每小题 5分,共25分) 1.将下列各函数式化为最小项之和形式。 (1)Y=ABC'+BC

数字电路模拟题

题型分布:填空题2*9=18、选择题3*4=12、逻辑函数化简6+7+7=20、画波形10、分析与设计15+25=40 一、填空题 1、与非门的逻辑功能为。 2、数字信号的特点是在上和上都是断续变化的,其高电平和低电平常用 和来表示。 3、三态门的“三态”指,和。 4、逻辑代数的三个重要规则是、、。 5、为了实现高的频率稳定度,常采用振荡器;单稳态触发器 受到外触发时进入态 6、计数器按增减趋势分有、和计数器。 7、一个触发器可以存放位二进制数。 8、优先编码器的编码输出为码,如编码输出A 2A 1 A =011,可知对输入的进 行编码。 9、逻辑函数的四种表示方法是、、、。 10、移位寄存器的移位方式有,和。 11、同步RS触发器中,R,S为电平有效,基本RS触发器中R,S为 电平有效。 12、常见的脉冲产生电路有 13、触发器有个稳态,存储8位二进制信息要个触发器。 14、常见的脉冲产生电路有,常见的脉冲整形电路 有、。 15、数字电路按照是否有记忆功能通常可分为两 类:、。 16、寄存器按照功能不同可分为两类:寄存器和寄 存器。 17、逻辑函数F== 18、触发器有两个互补的输出端Q、,定义触发器的1状态 为,0状态为,可见触发器的状态指的是端的状态。 19、一个触发器可以记忆位二进制代码,四个触发器可以记忆位二进 制代码。 20、主从JK触发器的特性方程。 21、时序逻辑电路按照其触发器是否有统一的时钟控制分为时 序电路和时序电路。 22、为了实现高的频率稳定度,常采用振荡器;单稳态触 发器受到外触发时进入态。 23、触发器有个稳态,存储8位二进制信息要个触发器。 24、逻辑函数的化简有,两种方法。 25、组合逻辑电路没有功能。 26、主从JK触发器的特性方程,D触发器的特性方

数电期中考试试题和答案

数电期中测试题 参考答案 系别 班级 学号 姓名 一、单项选择题(本大题共7小题,每小题2分,共14分) 在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。 1.十进制数25用8421BCD 码表示为(B ) 0101 2.函数B A ABC ABC F //++=的最简与或式是(D ) =A+B B.//C A F += =B+C =B 3.若将一个同或门(输入端为A,B )当作反相器使用,则A 、B 端应(C ) 或B 中有一个接1; 和B 并联使用; C. A 或B 中有一个接0; D.同或门无法转换为反相器 4.符合下面真值表的门电路是(C ) A.与门 B.或门 C.同或门 D.异或门 5.下列代码属于8421BCD 码的是(C )

6.最小项''A BC D 的逻辑相邻最小项是(B ) A .''A B CD B .'''A B C D C .'ABCD D .'AB CD 7.函数F=AB+BC ,使F=1的输入ABC 组合为(D) A .ABC=000 B .ABC=010 C .ABC=101 D .ABC=110 二、填空题(本大题共10小题,每小题2分,共20分) 请在每小题的空格中填上正确答案。错填、不填均无分。 1.基本逻辑运算有_______、 、 3种。与、或、非 2.描述逻辑函数各个变量取值组合和函数值对应关系的表格叫_真值表。 3.函数Y=AB+AC 的最小项之和表达式为________。(ABC ABC C AB Y ++=//) 4.3线-8线译码器74LS138处于译码状态时,当输入A 2A 1A 0=001时,输出 /0/7~Y Y =______。 5.能够将1个输入数据,根据需要传送到m 个输出端的任何一个输出端的电路叫_______。数据分配器 6.函数))((////DE C B A F +=的反函数=/F ____________。 ))((////E D C B A F +++= 7.编码的逆过程就是____________。译码 8.若编码器要对有48个对象进行编码,则要求输出二进制代码位数为____________位。6 9.十进制数60和二进制数 相等。111100 10.=+AB A ;=+B A A / ;=++AC C B AB / 。 ( C B AB B A A / ;;++) 三、分析题(本大题共3小题,每小题6分,共18分)

数电期末练习题

第一章数制与码制 一、单项选择题: 1. 十进制数32转换为二进制数为(C)A、1000 B、10000 C、100000 D、1000000 2. 二进制数转换为十六进制数为(D )A、FE1H B、FC2H C、7D1H D、7E1H 3. 十进制数36转换为8421BCD码为(C)A、00100100 B、00110100 C、00110110 D、 4. 一位十六进制数可以用(C )位二进制数来表示。A、1B、2C、4D、16 5. 十进制数25用8421BCD码表示为(B )。A、10 101 B、0010 0101 C、100101 D、10101 6.十进制数35转换为8421BCD码为(B )A、00100100 B、00110101 C、00100011 D、00110110 7.三位二进制数码可以表示的状态是( D )。A、2 B、4 C、6 D、8 8.十进制数25转换为二进制数为( D )。A、110001 B、10111 C、10011 D、11001 9.BCD代码为()表示的数为(594)10,则该BCD代码为()。 A、8421BCD码 B、余3 BCD码 C、5421BCD码 D、2421BCD码(C) 10.与二进制数00100011相应的十进制数是( B )。A、35 B、19 C、23 D、67 11. 是8421BCD码的是( B )。A、1010B、0101 C、1100 D、1101 12. 二进制数1101转换为十进制数为(D )A、10 B、11 C、12D、13 13. 比较数的大小,最大数为( C )A、(1 B、(51)10C、(34)16 =(52) 10 D、(43)8 14.把二进制数转换成十进制数为(A )A、150 B、96 C、82 D、159 15. 将十六进制数4FB转换为二进制数等于( C ) A、0B B、0B C、0 D、 16. 将数转换为十六进制数为( A )A、 B 、C、 D 2 17. 将十进制数130转换为对应的八进制数:( ) A、202 B、82 C、120 D、230 18. 二进制整数最低位的权是(c )A、0 B、2 C、02D、4 19. n位二进制整数,最高位的权是()A、n2B、1n2-C、1n2+D、2n2+ 20. 下列四个数中最大的数是( ) A、(AF)16 B、(0010)8421BCD C、()2 D、(198)10 21. 将代码()8421BCD转换成二进制数为(b) A、(01000011)2 B、(01010011)2 C、()2 D、(0001)2 22. 十进制数4用8421BCD码表示为:()A、100 B、0100 C、0011 D、11 23. 下列不同进位制中最大的是() A、(76)8 B、(1100101)2 C、(76)10 D、(76)16 24. 用8421码表示的十进制数45,可以写成() A、45 B、[101101]BCD C、[01000101]BCD D、[101101]2 25. 下列属于8421BCD码的是()A、1011B、1111C、0111D、1100 26. 下列不属于8421BCD码的是()A、0101B、1000C、0111D、1100 27. 下列四个数中最大的数是( )

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

数字电子技术模拟试题4套

模拟试题一 一、选择填空(每空1分,共20分) 1.纯净的半导体叫()。掺入3价杂质元素形成的半导体叫(),它主要靠导电()。 A.空穴B.本征半导体C.P型半导体D.自由电子 2.PN结正偏时,多子的()运动较强,PN结变薄,结电阻较()。 A.扩散B.漂移C.小D.大 3.三极管有()和()两种载流子参与导电,故称作()极型晶体管;而场效应管称作()极型晶体管。 A.双极B.空穴C.单极D.自由电子 4.负反馈放大电路的含义是()。 A.输出与输入之间有信号通路 B.电路中存在反向传输的信号通路 C.除放大电路之外还有信号通路 D.电路中存在使输入信号削弱的反向传输信号 5.一个放大电路的对数频率特性的水平部分为40dB,当信号频率恰好是上限频率时,实际电压增益为()。 A.43dB B.40dB C.37dB D.3dB 6.通常在下面基本组态放大电路中,输入电阻最大的是();输出电阻最小的是();高频特性最好的电路是()。 A.共射电路B.共集电路C.共基电路D.共源电路 7.集成放大电路采用直接耦合方式的原因是()。 A.便于设计B.放大交流信号C.不易制作大容量电容 8.功率放大电路互补输出级采用共集形式是为了使()。 A.电压放大倍数大B.不失真输出电压大C.带负载能力强 9.欲得到电流-电压转换电路,应在放大电路中引入();欲将电压信号转换成与之成比例的电流信号,应在放大电路中引入()。A.电压串联负反馈B.电压并联负反馈C.电流串联负反馈D.电流并联负反馈 10.为了避免50Hz电网电压的干扰进入放大器,应选用()滤波电路。 A.带阻B.带通C.低通D.有源 11.直流稳压电源的基本组成有变压器、整流、()、稳压。 A.比较B.滤波C.调整 二、判断正误(每题2分,共10分) 1.因为N型半导体的多子是自由电子,所以它带负电。() 2.电路只要满足,就一定会产生正弦波振荡。() 3.放大电路必须加上合适的直流电源才能正常工作。() 4.若放大电路的放大倍数为负,则引入的反馈一定是负反馈。() 5.功率放大电路的最大输出功率是指在基本不失真情况下,负载上可能获得的最大交流功率。() 三、简答题 1.设图3-1中二极管、为理想二极管,判断它们是导通还是截止?输出电压= ?(4分) 2.测得放大电路中晶体管的直流电位如图3-2所示。在圆圈中画出管子,并说明是硅管还是锗管。 四、(6分)根据图4某共射单放电路中三极管的输出特性曲线及交、直流负载线,试求:(1)静态Q点;(2)三极管电流放大系数β;(3)集电极电阻;(4)最大不失真输出电压幅度。

相关文档
相关文档 最新文档