文档库 最新最全的文档下载
当前位置:文档库 › 病房呼叫系统课程设计报告

病房呼叫系统课程设计报告

病房呼叫系统课程设计报告
病房呼叫系统课程设计报告

目录

第一章技术指标

1.1 课题及要求

1. 2 功能描述

1.3 提高要求

第二章整体方案设计

2.1 整体方案结构方框图

2.2 整体方案原理

第三章单元电路设计

3.1 主控制电路设计

3.2 显示电路设计

3.3 病房门口指示灯电路设计

3.4 护士值班室报警电路设计

3.5 整体电路

3.6 整机原件清单

第四章测试与调整

4.1 主控制电路的测试与调整

4.2 显示电路的测试与调整

4-3 病房门口指示灯电路测的试与调整

4.4 护士值班室报警电路的测试与调整

4.5 整体电路测试

第五章设计小结5.1 设计任务完成情况5.2 问题及改进

5.3心得体会

附录

参考文献

第一章技术指标

1.1课题及要求

某医院有七个病室,每个房间都装有呼叫按钮,同时在护士室有相应的显示电路,即能看到是哪个病室呼叫。现要求:一号病室的呼叫优先权最高,七号最低,使用74LS148及其它门电路设计满足上述要求的组合逻辑电路。有病人呼叫时蜂鸣器响起,显示单元电路显示病房间号。

1.2功能描述:

1、呼叫功能:七个病室每个病室都装有一个呼叫按钮,当病室有需要时,可以通过呼叫按钮进行呼叫

2、显示功能:在护士值班室内有相应的显示电路,可以看到是哪个病室在呼叫及相应病房门口LED指示灯亮起并闪烁,蜂鸣器响起。

3、优先权:七个病室呼叫具有优先权,其中一号病室优先权最高,七号病室优先权最低。只要有一号病室呼叫时,不管其他六个病室是否呼叫,显示电路均显示一号病室;一号病室不呼叫时,只要有二号病室呼叫,不管其他病室是否呼叫,显示电路均显示二号病室……依次类推。优先权的功能是由74LS148芯片实现的。

4、LED指示灯的闪烁及蜂鸣器的响起由555定时器组成的多谐震荡器控制

第二章整体方案设计

2.1 整体方案结构方框图

2.2 整体方案原理

1、检测病房信号

通过病房开关控制输入高低电频从而使后续电路产生相关信号。

2、编码译码电路

通过开关J9—J3的开与关控制振荡电路LED的闪烁,也控制74LS148的输入进而控制其输出,但74LS148有优先编码功能(当一号病房的按钮按下时,无论其它病房的按钮是否按下,护士值班室的数码显示“1”,即“1”号病房的优先级别最高,其它病房的级别依次递减)74LS148的输出控制74LS48的输入,由74LS48的输出控制其显示电路的相关功能。

3、显示电路

开关闭合蜂鸣器发声,相关开关闭合七段共阴显示器显示对应开关病房号。其中J9—J3依次对应1号——7号病房

4、多谐震荡指示电路

通过555芯片与外围元件连接构成相应要求的多谐振荡器

第三章单元电路设计

3.1主控制电路设计

图3—1 主控制电路

如图3—1所示电路由7个开关代表7个病房内的紧急呼叫开关,其下部接地,灯正极接5伏电源,当开关合上时,相应的LED指示灯亮起。同时在74LS148D优先编码器的相应输入端输入低电平,其优先级依次从J9—J3。为了消除EI的影响,故将74LS148D的E0输出端分别与A0、A1、A2通过与门输出,将编码信号优化。

3.2显示电路设计

图3—2 显示电路

如图3—2所示,当74LS48D译码器的LT、BI\RBO端接高电平,RBI接任意电平时芯片正常译码,其输出的编码正好是七段数码管的工作编码,通过120Ω的限流电阻与共阴显示管连接成显示电路。

3.2病房门口指示灯电路设计

图3—3—1 病房门口指示灯电路

如图3—3—1所示,病房门口的指示灯电路由555电路构成多谐震荡电路,由于人肉眼对灯的闪烁分辨能力弱,故通过改变R1、R2的阻值将指示灯的闪烁频率控制在1赫兹左右。通过公式f=1.43/((R1+2*R2)*Cf)计算后取R1为30KΩ、R2为60KΩ,Cf取10uF,同时为了配合Cf,电容C也取10uF。芯片通过5伏电压供电,由于RST端为高电平时555电路震荡,而其连接端为低电平,故中间设置一个反相器。

但通过Multisim10.0软件模拟出来的结果显示,输出信号并不好虽然频率在1赫兹左右,但峰值只有0.5伏,无法驱动压降为1.66伏的LED灯,其输出信号如下图3—3—2所示。但通过老师解答,实际上是可以驱动LED灯的,只是软件模拟得不好。

图3—3—2 病房门口指示灯电路输出示波器图3.4 护士值班室报警电路设计

图3—4 护士值班室报警电路

如图3—3—2所示,该报警电路由555电路构成多谐震荡电路,并将震荡体现在蜂鸣器上。555芯片由5伏电源供电。通过Multisim10.0软件模拟,当R1取1KΩ、C取47nF、Cf 取100uF,R2在1KΩ至47KΩ调解时,频率在7700HZ——300HZ变化,并且占空比在60%左右,调频特性体现得很好,同时该蜂鸣器取的是内部没有振荡器的蜂鸣器,故能很好的体现这一频率的变化。将RST端与E0端相接,在有开关合上时,E0端输出为高电平,可以驱动555电路的运行。其中LED1为护士值班室的呼叫显示灯,当有病人呼叫时,此灯同时亮起,使其更直观。

3.5 整体电路

图3-5 整体电路图

第四章测试与调整

4.1主控制电路的测试与调整

将电源连接到主控制电路后,依次按下各个开关,LED指示灯均能亮起,松开后指示灯灭。依次测试A0、A1、A2在各个开关按下时的输出信号,结果显示,当J9按下时A2、A1、A0依次是高高低电平,其他测试时也能正确输出其相应的电平。但是在测试74LS08与门输出端时出现错误,后经检查是芯片连接错误所致,修改后也能像74LS148一样输出正确编码。

4.2 显示电路的测试与调整

将显示电路与主控制电路连接并接上电源后当依次按下各个开关后能相应地显示其数字,并且当多个开关同时按下时显示相应优先级较高的信号。说明这整个基础电路是好的。

4.3 病房门口指示灯电路测的试与调整

将电源连接到病房门口指示灯电路后,将输入端接上低电平后发现LED亮,但没闪烁。检查电路后,发现555芯片的2 6脚没有连接上,做出调整后,LED灯亮并且闪烁。但感觉在1HZ的震荡情况下闪烁并不明显,但至少能很好地体现其震荡电路的特性,因此也就没再改动。

4.4 护士值班室报警电路的测试与调整

将电源连接到护士值班室报警电路后,将输入端接上高电平,蜂鸣器发出吱吱声,当调节电位器后,发出频率不一样的声音,但声音并没有像模拟时的变化大,不过至少能体现其可调频的多谐震荡特性。

4.5 整体电路测试

将各个模块连接在一起后(由于多谐震荡电路占空间大,所以病房门口指示灯电路仅做了一个,并且接在了J3与LED灯间,用一个作示范)接上电源,依次按下开关后数码管依次显示器数字,并且蜂鸣器,相应的指示灯亮起,当J3按下后病房门口指示灯闪烁。按下多个开关后,数码管显示优先级较高的数字,蜂鸣器想起,相应的指示灯亮起或闪烁。整体测试体现电路是成功的。

第五章设计小结

5.1 设计任务完成情况

通过为期两周的课程设计,完成了本次设计的技术指标。在刚开始进行电路设计时由于不清楚软件对74LS48的仿真不准确这一缺陷,在译码部分花费了很长的时间。明白这一缺陷之后顺利很多,但在仿真病房门口指示灯电路时,在测试其输出电压峰值上花费了很长时间。对于整体的仿真还是很成功的。在制作实物时由于粗心将电路连接错误了,在检查更正之后很好地体现了本次设计的要求,特别在难以创新的情况下对声音报警电路的发声频率进行了可调节的设计,并且仿真出来的数据很理想。

5.2 问题及改进

本次设计内容对多谐震荡的要求不是很高,其震荡频率的允许宽度很大,而采用555电路的成本相对较大,并且元器件较多,如果用石英晶体及少量元器件构成振荡电路会更实惠,并且也不会影响使用性能。其中病房门口指示灯电路的震荡频率能稍大些,如5HZ,可能感觉出来会更好。

5.3心得体会

在为期两周的课程设计中,我们初步尝试并掌握了对所学知识的灵活运用,收获狠多,与此同时,也看到了自身的不足,找到了许多需要改进的地方。首先遇到的问题,在进行电路仿真的过程中,由于对软件的归类不是很熟悉直接影响了仿真速度,也由于没结合实际导致软件仿真结果与实际有误差,所以在进行电阻的选择过程中,在自己电脑上可以运行出来的结果在学校电脑上却运行不出来,后来在老师的指导下多次改进,终于得到了满意的仿真结果。另外,由于对各各原件的本质没完全弄明白在焊接时出现了一点微小的错误,导致了很大的麻烦,后来还好在大家的反复检查下才使电路工作正常。由此也让我们明白了在这方面也需要更加的仔细。其次,学习并运用了NI Multisium 软件后,体会到了此软件在电子电路中的强大功能与非凡作用,也让我们对本专业有了更清晰的认识,增加了我们对专业的兴趣。可以说这次的初步运用为以后打下了基础。最后,通过动手,查看芯片真值表,从而达到更合理正确的使用,加强了思考和解决问题的能力,为以后相关的电路方面设计与连接积累了宝贵的经验,同时加深了对相关知识的理解与运用,真正达到了理论与实践相结合的目的。在此,对老师的帮助表示衷心的感谢,也为小组间的完美配合表示祝贺。

附录

74LS148优先编码器

简要介绍:74LS148优先编码器允许同时输入两个及以上编码信号,不过在设计优先编码器时已经将所有的输入信号按优先顺序排了队,当几个输入信号同时出现时,只对其中优先权最高的一个进行编码。

74LS148管脚图

74LS148功能表

74LS48 BCD-7段译码器

简要介绍:74LS48为有内部上拉电阻的BCD-7字段译码器\驱动器,输出端(Y a—Yg)为高电平有效,可去驱动共阴的数码管。

(1)7段译码功能(LT=1,RBI=1)

在灯测试输入端(LT)和动态灭零输入端(RBI)都接无效电平时,输入DCBA经7448译码,输出高电平有效的7段字符显示器的驱动信号,显示相应字符。除DCBA = 0000外,RBI也可以接低电平,见表1中1~16行。

(2)消隐功能(BI=0)

此时BI/RBO端作为输入端,该端输入低电平信号时,表1倒数第3行,无论LT 和RBI输入什么电平信号,不管输入DCBA为什么状态,输出全为“0”,7段显示器熄灭。该功能主要用于多显示器的动态显示。

(3)灯测试功能(LT = 0)

此时BI/RBO端作为输出端,端输入低电平信号时,表1最后一行,与及DCBA输入无关,输出全为“1”,显示器7个字段都点亮。该功能用于7段显示器测试,判别是否有损坏的字段。

(4)动态灭零功能(LT=1,RBI=1)

此时BI/RBO端也作为输出端,LT 端输入高电平信号,RBI 端输入低电平信号,若此时DCBA = 0000,表1倒数第2行,输出全为“0”,显示器熄灭,不显示这个零。DCBA≠0,则对显示无影响。该功能主要用于多个7段显示器同时显示时熄灭高位的零。

74LS48管脚图

74LS148功能表

74LS08与门

简要介绍:实现与逻辑,即Y=AB

74LS08功能表

74LS04反向器

简要介绍:实现逻辑非,即Y=?

74LS04管脚图

74LS04功能表

555定时器

简要介绍:555 定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。它也常作为定时器广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。它内部包括两个电压比较器,三个等值串联电阻,一个 RS 触发器,一个放电管 T 及功率输出级。它提供两个基准电压VCC /3 和2VCC/3。

555功能图、引脚图

555 功能表

参考文献

1、黄智伟. 基于NI Multisium 的电子电路计算机仿真设计与分析. 北京:电

子工业出版社,2008

2、余孟尝. 数字电子技术基础简明教程(第三版). 北京:高等教育出版社,

2006

3、付家才. 电子实验与实践. 北京:高等教育出版社,2004

4、高嘉琪. 宁波工程学院电子工艺实习. 宁波:宁波工程学院电子与信息工程

学院电子技术实验中心,2009年12月

病床呼叫系统讲解

课程设计(论文) 题目名称病床呼叫系统 课程名称电子技术课程设计 学生姓名xxxxx 学号xxxxxxxx 系、专业xxxxxxx 指导教师xxxxxxx 2016年5月31日

摘要 本设计完成的是病房呼叫系统的功能。该设计采用主从结构,监控机构放置在医生值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,提示闹铃响起,向值班室呼叫,并点亮相应床位的指示灯。采用模块设计思想,分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块,以及呼叫模块。这些模块共同工作完成本电路的功能实现。 本设计分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块,以及呼叫模块。这些模块共同工作完成本电路的功能实现。其中运用了8线—3线优先编码器74LS148来实现优先选择模块主要功能,其中运用自己设计的小规模逻辑门电路,并且运用74LS138译码器来进行输出的控制,本论文阐述了如何利用数字电路的思想,以及应用proteus仿真软件设计病房呼叫系统,测试,并实现其功能。 关键词:病房呼叫系统,JK同输入触发器,数字电路

目录 摘要............................................................................................................ I 引言.. (1) 1 设计详细任务与要求 (2) 1.1 基本功能 (2) 1.2 呼叫系统的总体框图 (2) 1.3方案设计与论证 (3) 2 设计方案简述 (4) 3 单元电路的设计 (5) 3.1 按键模块及指示灯模块的设计 (5) 3.2 锁存模块及清零模块的设计 (7) 3.3 显示模块及报警模块的设计 (9) 3.4 呼叫系统总电路原理图 (11) 4 病床呼叫系统的功能说明及仿真结果 (12) 5 病床呼叫系统设计总结 (14) 参考文献 (15) 附录 (16)

医院病房呼叫器的设计_绝对经典

课程设计任务书 课程名称电子线路课程设计 课程设计题目医院病房呼叫器的设计 课程设计的容及要求: 一、设计说明与技术指标 1.用1~4个开关模拟4个病房的呼叫输入信号,1号优先级最高;1~4优先级依次降低; 2.用数码管显示呼叫信号的;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3.凡有呼叫发出5秒的呼叫声; 4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用multisim软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]:高等教育,2006年 2. 阎石,数字电子技术(第五版).[M]:高等教育,2005. 3. 孝彬《555集成电路实用电路集》高等教育2002-8 4. 王刚《TTL集成电路应用》机械工业2000-10 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字: 年月日一、概述

本设计的主要目的是实现一个当医院病房发生紧急情况时,病房之中又有多个病人需要护理的一个优先级别的电路。用于医院病房需要呼叫具有优先级别的呼叫系统。当有病人进行呼叫时,系统会自动先处理具有优先级别的病房的编号,同时产生光信号和5秒钟的声音信号。使用该系统,不仅能够提高医生的工作效率,便于医生及时了解病人的实际状况,还能够让病人的需要及时得到满足。 二、方案论证 根据设计要求,将此设计分为几个模块来设计,分别为:指示灯显示模块,优先显示模块,报警模块。首先用四个开关来代替四个病房的呼叫按钮,四个二极管灯代表四个病房,当开关闭合后,对应的病房的灯发光,然后利用与非门74LS30的功能,当其输出为高电平时,就会使NE555芯片产生脉冲信号,然后使NE555芯片的out输出端产生高电平,促使报警器报警,根据NE555芯片的外接电阻和电容的大小,可调整报警器的报警时间。当开关断开时,即74LS30输出为低电平,所以NE555芯片没有被触发,其out输出端为低电平,报警器没有报警,也就是病房没有病人呼叫,一切正常。开关闭合后,将经过存储的信号送入优先编码器74HC148,根据优先编码器的优先选择功能选出优先级最高的呼叫信号,再通过译码器74LS48译码,最后通过数码管显示报警的病房,然后医生会及时的根据报警情况去查看病人。此方案的论证流程图如图2.1。 图1 病房呼叫系统电路的原理框图 三、单元电路设计 1、指示灯显示模块

数电课程设计病床呼叫系统

数电课程设计病床呼叫 系统 集团文件发布号:(9816-UATWW-MWUB-WUNN-INNUL-DQQTY-

数字电子技术课程设计报告 题目:病床呼叫系统 班级: 姓名: 指导老师: 组号: 目录 1、设计任务及要求 (3) 2、方案设计 (3) 3、各单元电路设计与分析 (4) 3.1、开关控制及指示灯与数码管显示部分 (4) 3.2、优先编码部分 (5) 3.3、5s闪烁呼叫模拟部分 (6) 4、总体电路原理图及元器件清单 (7) 4.2、电路原理图 (8) 4.2、原件清单 (10) 5、电路仿真及仿真结果分析 (11) 6、电路功能测试方案与测试结果 (12) 7、作品照片图 (12) 8、结论与心得体会 (13) 9、参考文献 (13) 病床呼叫系统

1、设计任务及要求: 用中小规模集成电路设计病床呼叫系统逻辑电路的具体要求如下:(1)分别用1~5个开关模拟5个病房的呼叫输入信号,5个呼叫优先级不同。 (2)用一个数码管显示呼叫信号的号码;没信号时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其他呼叫用指示灯显示)。 (3)凡有呼叫发出5s的呼叫声(可通过LED灯5s闪烁模拟)。 (4)当护士接收到信号,按下复位键时数码管被清零,而且不能影响下次呼叫的进行。 2、方案设计: 临床求助呼叫是传送临床信息的重要手段,病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,可将病人的请求快速传送给值班医生和护士,并在值班室的监控屏幕上留下准确完整的记录,是提高医院和病房护理水平的必备设备之一。监控机构一般放置在护士值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,向值班室呼叫,并点亮呼叫指示灯。监控机构和呼叫源之间通过数据线连在一起。 本设计为一个模拟系统,通过各类芯片的组合来实现该系统的基本功能,完成各项操作。病床呼叫系统能对5张病床进行统一监护,能够对不同优先级的呼叫进行优先响应处理,对发出呼叫的病床有指示灯进行

病房呼叫系统设计

毕业设计(论文) 题目:病房呼叫系统设计 院 (系):机电工程系 专业:电气自动化技术 姓名:马兆龙 学号: 58020220100138 指导教师:刘静 二〇一三年一月十六日

毕业设计(论文)任务书

毕业设计(论文)进度计划表

毕业设计(论文)中期检查记录表

病房呼叫系统是病人请求值班医生或护士进行诊断、护理的紧急呼叫工具,它主要用于协助医院病员在病床上方便地呼叫医务人员,可将病人的请求快速传送给值班医生或护士,是提高医院和病房护理水平的必备设备之一。医院的竞争越来越激烈,商业医院的生存是第一位的,提升档次和服务质量迫在眉睫,陪护问题一直是医患矛盾的主体,也是长期困扰卫生系统服务质量的大问题,使用病房呼叫系统,方便病人更快找到医生,以节约病人的宝贵时间。 系统是基于51系列的单片机设计的病床呼叫系统。该系统以AT89C51单片机为核心辅以矩阵键盘、LED显示电路和部分简单模拟和数字电路组成的能够实现病人和医护人员之间信息的传递。每个病人要呼叫可以按键,同时会有蜂鸣器响,不同的数码管显示床位号,相应的指示灯亮。这里主要矩阵键盘输入信号,这是编程的关键。 在该设计中每个病房都有一个按键,当患者有需要时,按下按键,此时值班室的显示屏可显示此患者的床位号,多人使用时可实现循环显示,医护人员按下“响应”键取消当前呼叫。此系统能够为医院提供一个成本低、效率高、操作方便和易于安装维护的快捷系统。 关键词:AT89C51 矩阵键盘 LED 病床呼叫

Ward call system is the patient's request to the doctor on duty or nurse emergency call tools for diagnosis, care, and it is mainly used to assist the hospital patient in the bed easily call the medical staff, the patient's request can be quickly sent to the duty doctor or nurse is to improve one of the essential equipment of hospital and ward level of care.Increasingly fierce competition in the hospital, commercial hospital survival is the first to enhance the grade and quality of service is imminent,accompany the issue has been the main body of the patient conflict, but also long-troubled health system quality of service issues, using the ward call system more convenient for patients to find a doctor to save the valuable time of the patient. The system is a ward call system based on the 51 series micro-chip design. The sy-stem uses AT89C51 micro-controller as the core, supplemented by matrix keyboard, LED dot matrix display of a simple circuit and some analog and digital circuits to achieve the transfer of information between patients and health care. In this design,each ward has a button, when patients in need, press the button, the display of the duty room to display the number of beds in this patient, people use to achieve circular display, press the medical staff "response" to cancel the current call. This system can provide a low cost, high efficiency, easy operation and easy installation and maintenance system for the hospital. KEY WORD :AT89C51 matrix keyboard LED ward call

病房呼叫系统方案

呼叫系统方案 主机与病员一览表合二为一,并设有万年历、呼叫床位指示灯和病房床位数码显示窗口,外壳超薄型设计,造型特别精巧,可壁挂、桌面任意放置;分机采用最新编码电路编号,变更床号只需更换编码芯片即可,外壳采用了全塑外扣式设计,适用于任何形式的安装配套;分机为内压线式接线,实现了房间内无断线的连接。使用、安装、维护更安全更方便。: 1、该医院呼叫系统双向呼叫、双功通话:分机可呼叫主机,主机也可呼叫分机,送话受话无需转换。 2、主机多功能显示:主机可显示万年历,护理等级,呼叫床号、序号等。 3、三级护理设置:可根据病员病情任意设置高、中、低级护理床位,并在主机上有不同颜色的灯显示。 4、高级优先:高级病员的呼叫可以中断低级病员的通话。 5、话筒统播:可通过主话机作下通知、找人等统播。 6、宣教广播:可接入录音机等信号源作宣传教育广播。 7、主机免提:分机呼入时主机可免提与分机对讲。 8、无中断呼叫:无论在通话、广播等状态只要有呼叫均能呼入并给出显示。 9、呼叫存储:分机呼叫而主机无人接时主机将该分机号存储。 10、走廊显示屏:可显示呼叫分机号、存贮分机号和呼叫序号;无呼叫存贮时显示时间。 11、与计算机连网:由计算机将病员的呼叫时间、呼叫次数、处理时间、超时处理等进行统计,为考核服务质量提供技术上的保证。 12、故障自检报警:当系统出现故障时主机显示窗口及显示屏均能给出数字显示,并伴有声响报警提示: 1、主机最大分机容量:50门、60门 2、最长连线距离:800米 3、最大存储量:9个 4、电源:220V±10%、50Hz 5、工作方式:连续 6、功耗:<20W 7、总线电压:18V 8、工作条件:环境温度0~40℃相对湿度≤80% 医院呼叫系统使用方法: 1、分机呼叫主机:按一病房呼叫系统分机床头垂线呼叫按钮。分机上“叫通指示”灯点亮主机发出音乐振铃声,病员一览表相对应的卡片上有灯光闪烁,同时分机也伴有音乐振铃声。数码显示窗口上显示该分机号。在音乐振铃期间,护士摘起主话机即可与病员通话,通话结束,将主话机挂机,系统恢复待机状态。如果直到振铃结束,护士未摘主话机,主机将该分机号存贮,若想再与该分机通话,需按主机呼叫分机操作。 2、主机呼叫分机:摘起主话机按一下欲呼叫的床位对应的小按钮或是在主话机上键入两位分机号(1-9号应按01-09),被叫通的分机将发出“嘟”一声提示音表示已接通。如果所拨叫的分机不存在,听筒里将发出“嘀、嘀、嘀、嘀—”的声音作为提示,然后重新给出拨号

plc课程设计病床呼叫系统

目录 第1章控制工艺流程分析 (1) 1.1病床呼叫系统控制过程描述 (1) 1.2. 病床呼叫系统控制工艺分析 (1) 第二章控制系统总体方案设计 (2) 2.1 系统硬件组成 (2) 2.4系统结线图设计 (3) 第3章控制系统梯形图程序设计 (5) 3.1 控制程序流程图设计 (5) 3.2 控制程序时序图设计 (5) 3.3 控制程序设计思路 (7) 第4章监控系统设计 (8) 4.1 PLC与上位监控软件通讯 (8) 4.2 上位监控系统组态设计及实现效果 (9) 第5章系统调试及结果分析 (10) 5.1 系统调试及解决的问题 (10) 5.2 结果分析 (10) 第6章课程设计心得 (11) 参考文献 (12) 附录 (13)

第1章控制工艺流程分析 1.1病床呼叫系统控制过程描述 患者在住院期间,可能会在任意时间请求医生或护士进行诊断或护理。临床救助呼叫是传送临床信息的重要手段,病床呼叫系统是患者向值班医生或护士发出紧急呼叫的工具,要将患者的请求快速传送给值班医生或护士,并在护士站的监控中心留下准确完整的记录,是提高医院和病室护理水平的必备设备之一。病床呼叫系统要求及时、准确、可靠,简便可行,利于推广,其性能的优劣直接关系到病员的安危,因此历来受到各大医院的普遍重视。 本实验应用可编程控制,以一种以PLC为核心的智能化病床呼叫系统。该系统利用PLC特殊的I/O接口,是系统构成更加简单,充分展示了它的特色和应用前景。该系统具有呼叫、灯光报警、振铃、先是排队、优先权设定等功能,可满足医院对病房管理和护理的要求。 1.2. 病床呼叫系统控制工艺分析 1.共有3个病房,每间病房4个床位。每一病床床头均有紧急呼叫按钮及重置按钮,以利病人不适时紧急呼叫。 2.设每一层楼有一护士站,每一护士站均有该层楼病人紧急呼叫与处理完毕的重置按钮。 3.每一病床床头均有一紧急指示灯,一旦病人按下紧急呼叫按钮且未在5s 内按下重置按钮时,该病床床头紧急指示灯动作且病房门口紧急指示灯闪烁,同时同楼层的护士站显示病房紧急呼叫并闪烁指示灯。 4.在护士站的病房紧急呼叫中心,每一病房都有编号,用指示灯显示哪一病房先按下病人紧急呼叫按钮,并要具有优先级判别的能力。 5.一旦护士看见护士站紧急呼叫闪烁灯后,须先按下护士处理按钮以取消闪烁情况,再依病房紧急呼叫顺序处理病房紧急事故,若事故处理妥当后,病房紧急闪烁指示灯和病床上的紧急指示灯方町被重置。

病房呼叫系统设计

《病房呼叫系统EDA》 设计报告 题目:病房呼叫系统设计 学院:电子信息与电气工程学院专业:电子信息工程 班级: 姓名: 学号:

目录 一、设计要求 (2) 二、病房呼叫系统设计背景及其研究意义 (2) 三、设计思路 (2) 四、基本原理 (3) 五、设计内容及步骤 (3) 六、对设计的体会与感想 (9) 七、参考文献 (10)

病房呼叫系统设计 一、设计要求 1、用1~6个开关模拟6个病房的呼叫输入信号,1号优先级最高;1~6优先级依次降低; 2、用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3、凡有呼叫发出5秒的呼叫声; 4、对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。 二、病房呼叫系统设计背景及其研究意义 随着科学技术的发展,越来越多的科技设备应用到生活的方方面面,其中在医疗领域尤其多。病房呼叫系统这个设计就是应用于医院的一个简单的系统模型,其目的是为了方便医护人员更好地照顾病人,提高工作效率。病房呼叫系统的优先编码设置可以让相对更需要救助的病人第一时间得到救助,其蜂鸣器和数码管、等设置可以直观的提醒医护人员是否有病房呼叫及呼叫的病房号。 医院,在当代生活中已是必不可少,它在人们的生活中越来越扮演着重要角色,医院让我们的生活更加安心。医院的医学技术与科技设备齐全是人们生活健康的保障,而病房呼叫系统在医院病房更加必不可少,它关系的病人的安危,完善的病房呼叫系统让病人更加安心。 三、设计思路 本次课程设计的题目是病房呼叫系统,有六个病房,分别编号为1、2、3、4、5、6,其优先级依次降低。即当一号病房有信号输出的时候,即使其他病房有信号输出系统也不会响应。当二号病房有信号

数电病房呼叫系统设计

病房呼叫系统设计 某医院有一、二、三、四号病室4间,每室设有呼叫按钮,同时在护士值班室内对应地装有一号、二号、三号、四号4个指示灯。 现要求当一号病室的按钮按下时,无论其它病室的按钮是否按下,只有一号灯亮。当一号病室的按钮没有按下而二号病室的按钮按下时,无论三、四号病室的按钮是否按下,只有二号灯亮。当一、二号病室的按钮都未按下而三号病室的按钮按下时,无论四号病室的按钮是否按下,只有三号灯亮。只有在一、二、三号病室的按钮均未按下而按下四号病室的按钮时,四号灯才亮。试用优先编码器74LS148和门电路设计满足上述控制要求的逻辑电路,给出控制四个指示灯状态的高、低电平信号。 一、实验目的: (1)、熟悉优先编码器的优先功能及应用。 (2)、掌握译码显示电路设计。 二、实验内容: 试用优先编码器74LS148芯片和必要的门电路设计一个病房呼叫系统。具体要求如下: (1)、共有一、二、三、四号病房,每个房间装有呼叫按钮。(2)、各病室的呼叫优先权不同,其中,一号病室的优先权最高,四号病室最低。 (3)、在护士值班室内有相应的显示电路,能看到当前呼叫病室的房间号。

三、实验原理: 优先编码74LS148的原理图如图1所示,其功能表如图2所示。 74LS00管脚图 74LS148管脚图

74LS148的功能表 四、实验器材: (1)、数字电路实验箱一台(2)、元器件 集成门电路:74LS00等若干 优先编码器74LS148芯片 1片 按键开关 4个 共阴极七段数码管 1位 蜂鸣器或扬声器 1个 LED指示灯 1个 电阻若干 五、求解:

解:输入变量:A1’,A2’,A3’,A4’;0:按下按钮,1:没有按下; 输出变量:Z1,Z2,Z3,Z4;1:灯亮,0:灯不亮; A1' A2' A3' A4'Y2 Y1 Y0 Y2' Y1' Y0'Z1 Z2 Z3 Z4 0 X X X 0 1 1 1 0 0 1 0 0 0 1 0 X X 0 1 0 1 0 1 0 1 0 0 1 1 0 X 0 0 1 1 1 0 0 0 1 0 1 1 1 0 0 0 0 1 1 1 0 0 0 1 由真值表写出: Z1=Y2'(Y1')'(Y0')'=Y2'Y1 Y0 Z2=Y2'(Y1')'Y0'=Y2'Y1 Y0' Z3=Y2'Y1'(Y0')'=Y2'Y1'Y0 Z4=Y2'Y1'Y0' 据此画出如图所示的电路连接图: 六、注意事项: 接线时要注意各芯片及数码管输入端的位权顺序。

病床呼叫系统设计

电子技术课程设计 题目病床呼叫系统设计 系 (部) 班级 姓名 学号 指导教师 2014 年 12 月 15 日至 12 月 19 日共 1 周 2014年12 月19日

课程设计成绩评定表

目录 1设计题目 (3) 1病床呼叫系统设计 (3) 2 课题背景 (4) 3 系统设计 (6) 3.1设计内容 (6) 3.2设计思路 (6) 3.3需要器件 (7) 3.4结构框图 (7) 3.5系统原理图及其工作原理 (8) 3.6各部分功能模块 (12) 3.6.1床位呼叫控制转换模块及原理图 (12) 3.6.2 优先选择模块设计 (13) 3.6.3译码显示模块设计 (16) 3.6.4蜂鸣器模块 (17) 4.软件仿真及其调试 (18) 4.1软件介绍 (18) 4.2仿真方法介绍 (18) 4.3故障分析 (19) 5.结论 (20) 6.心得体会 (21) 参考资料 (22) 附录1仿真电路全图 (23) 附录2 元件清单 (24)

1设计题目1病床呼叫系统设计

2 课题背景 在这个瞬息万变,竞争激烈的时代,选择一个优越的工具往往能提升企业在这个市场上的竞争力。尤其医院的竞争越来越激烈,商业医院的生存是第一位,提升档次和服务质量迫在眉睫,陪护问题一直是医患矛盾的主体,也是长期困扰卫生系统服务质量的大问题,使用病房呼叫系统,方便病人更快找到医生,以节约病人的宝贵时间。 病床呼叫系统是一种应用于医院病房、养老院等地方,用来联系沟通医护人员和病员的专用呼叫系统,是提高医院水平和病室护理水平的必备设备之一。让患者需要服务时,只要按一下随身携带的呼叫器,信息立马就能传至护工处. 避免没有看护人在时,病人急需服务却无法通知医生的情况。病床呼叫系统的优劣直接影响到病员的安危,历来受到各大医院的普遍重视。它要求及时、准确可靠、简便可行、利于推广。

病房呼叫系统设计报告

病房呼叫系统设计报告 一、设计要求 (2) 二、设计的具体实现 (2) 1、系统概述 (2) 2、单元电路设计与分析 (4) 2.1 5秒呼叫模块 (4) 2.2 呼叫显示模块 (6) 2.3 优先显示模块 (7)

一、设计要求 此设计是用于医院病人的紧急呼叫,其设计要求如下: 1.当病人按下呼救信号按钮,呼救灯亮,同时显示病人编号,蜂鸣器发出5秒呼救声,等待医护人员来护理。 2.按照病人的病情划分出优先级别,有多个病人同时呼救时,系统优先显示最高级别的呼救编号。 3.当医护人员处理完最高级别呼救后,按下清零键,系统按优先等级先后显示其他病人编号。 二、设计的具体实现 1.系统概述 本设计的指导思想是设计一个当病人紧急呼叫时,产生声光提示,并显示病人编号;然后根据病人病情进行优先级别设置,当有多人呼叫时,病情严重优先;医护人员处理完当前最高级别的呼叫后,清除已处理的最高级别的呼叫信号,系统按优先级别显示其他呼叫病人的编号。由呼叫信号的锁存,CD4532优先编码,由744511译码显示和逻辑控制清除几部分构成,其核心在CD4532优先编码器。 方案:病房呼叫系统的逻辑门电路如图1.1所示。它由模拟开关、优先编码器、锁存器、数码管、逻辑门、信号灯、单稳态触发器、蜂鸣器组成。模拟病房号通过优先编码器显示优先级最高的病床号。并且通过锁存器储存起来,按R键将清除已处理的信号。 病房呼叫系统的逻辑方框图

由上述图文说明可看出此方案能够对最优先级别的呼叫信号进行处理,编码和译码,最后显示出来。在完成最优先级呼叫信号的处理之后,可以通过医护人员手动复位,从而对其他信号的处理。 工作原理:用D锁存器锁存,再用一个8线-3线优先编码器4532对病房号编码,再用译码器4511译出最高级的病房号。当有病房号呼叫时,通过译码器和逻辑门触发(由555构成的单稳触发器)从而控制蜂鸣器发出5秒钟的呼叫声。呼叫信号控制晶闸管从而控制病房报警灯的关亮。若有多个病房同时呼叫,待医护人员处置好最高级的病房后,由人工将系统的复位(手动)。 工作流程图

医院病房呼叫器的设计绝对经典

医院病房呼叫器的设计 绝对经典 -CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN

课程设计任务书 课程名称电子线路课程设计 课程设计题目医院病房呼叫器的设计 课程设计的内容及要求: 一、设计说明与技术指标 1.用1~4个开关模拟4个病房的呼叫输入信号,1号优先级最高;1~4优先级依次降低; 2.用数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3.凡有呼叫发出5秒的呼叫声; 4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用multisim软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006年 2. 阎石,数字电子技术(第五版).[M]北京:高等教育出版社,2005. 3. 陈孝彬《555集成电路实用电路集》高等教育出版社2002-8 4. 王刚《TTL集成电路应用》机械工业出版社 2000-10 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字: 年月日 一、概述

本设计的主要目的是实现一个当医院病房发生紧急情况时,病房之中又有多个病人需要护理的一个优先级别的电路。用于医院病房需要呼叫具有优先级别的呼叫系统。当有病人进行呼叫时,系统会自动先处理具有优先级别的病房的编号,同时产生光信号和5秒钟的声音信号。使用该系统,不仅能够提高医生的工作效率,便于医生及时了解病人的实际状况,还能够让病人的需要及时得到满足。 二、方案论证 根据设计要求,将此设计分为几个模块来设计,分别为:指示灯显示模块,优先显示模块,报警模块。首先用四个开关来代替四个病房的呼叫按钮,四个二极管灯代表四个病房,当开关闭合后,对应的病房的灯发光,然后利用与非门74LS30的功能,当其输出为高电平时,就会使NE555芯片产生脉冲信号,然后使NE555芯片的out 输出端产生高电平,促使报警器报警,根据NE555芯片的外接电阻和电容的大小,可调整报警器的报警时间。当开关断开时,即74LS30输出为低电平,所以NE555芯片没有被触发,其out 输出端为低电平,报警器没有报警,也就是病房没有病人呼叫,一切正常。开关闭合后,将经过存储的信号送入优先编码器74HC148,根据优先编码器的优先选择功能选出优先级最高的呼叫信号,再通过译码器74LS48译码,最后通过数码管显示报警的病房,然后医生会及时的根据报警情况去查看病人。此方案的论证流程图如图2.1。 图 1 病房呼叫系统电路的原理框图 三、单元电路设计

05病房呼叫系统方案

目录 病房呼叫系统 (1) 1系统概述 (1) 2需求分析 (2) 3设计依据与设计原则 (2) 4系统设计说明 (3) 4.1 系统的基本组成 (3) 4.2 系统的基本功能 (3) 4.3 系统点位设置 (4) 5系统主要设备介绍 (5) 5.1 三十路对讲主机(型号:NBW-30) (5) 5.2 三十路病员一览表(型号:NBW-30P) (5) 5.3 嵌入式分机(型号:NBW-A) (6) 5.4 防水报警开关(型号:NBW-AN) (6) 5.5 输液报警器(型号:NBW-G) (6) 5.6 外配电源(型号:NBW-B-05) (7) 5.7 小门灯(型号:NBW-M) (7) 5.8 无线发射机(型号:NBW-T) (7) 5.9 无线接收机(型号:NBW-R) (8)

病房呼叫系统 1系统概述 对讲-医院护理对讲系统(双工、两芯总线制)主要用在:医院、疗养院、敬老院等需要护理对讲的场所。 社会不断的进步。物质生活和精神生活越来越丰富多彩。健康快乐的生活是每一个人的愿望。但疾病一直以来伴随着人类。每个人或多或少的会生病。就要看病、打针、吃药、住院。医院也不断地完善着医护人员医技、服务质量和医疗设备。以服务于大众。提高人们的生活质量。真正做到有病医得起、看得好。创造出一个和谐的社会。 在医疗设备方面。医护对讲系统是医院不可或缺的通信设备。医院护理对讲系统采用两芯总线制系统架构。方便施工。根据不同的机型每条总线可并接30或60台分机。分机之间是平级关系。在总线上发送数字脉冲地址码。以便于被主机识别。主机提供485通信接口。可以连接射频发射机、显示屏、监控统计集线器。医护人员在巡视过程中。可以利用携带的射频接收机接受射频发射机传来的数据。以确定病床呼叫。走廊显示屏提供警示作用。能够显示呼叫分机号码便于医护人员查看。系统每日的通信信息可以通过监控统计集线器接入计算机。进行数据处理。可以表格形式打印。以便管理人员查询。输液报警器是医护对讲系统中的新产品。配合分机使用。输液管夹装在其中。当输液完毕时有“Bi、Bi”报警提示声,输液报警器同时自动阻断输液管。并通过分机向护士站的主机发送输液完毕报警信号。单独使用时,需另配电源。为了医院管理的方便。系统主机提供并接功能。最多可实现四级管理。 医院护理对讲系统电路设计合理、工作稳定、维护率低。是医院理想的通信设备。系统包括有多种容量的对讲主机,如三十路对讲主机、三十路病员一览表、六十路对讲主机、六十路病员一览表,超薄分机、嵌入式分机、防水开关、输液报警器、外配电源、小门灯、点阵双面走廊显示屏、无线发射机、无线接收机、监控统计集线盒、监控统计软件等构成。

数字电子技术课程设计报告-病床呼叫系统

数字电子技术课程设计报告题目:病床呼叫系统 班级: 姓名: 指导老师: 组号:

目录 1、设计任务及要求 (3) 2、方案设计 (3) 3、各单元电路设计与分析 (4) 3.1、开关控制及指示灯与数码管显示部分 (4) 3.2、优先编码部分 (5) 3.3、5s闪烁呼叫模拟部分 (6) 4、总体电路原理图及元器件清单 (7) 4.2、电路原理图 (8) 4.2、原件清单 (10) 5、电路仿真及仿真结果分析 (11) 6、电路功能测试方案与测试结果 (12) 7、作品照片图 (12) 8、结论与心得体会 (13) 9、参考文献 (13)

病床呼叫系统 1、设计任务及要求: 用中小规模集成电路设计病床呼叫系统逻辑电路的具体要求如下: (1)分别用1~5个开关模拟5个病房的呼叫输入信号,5个呼叫优先级不同。 (2)用一个数码管显示呼叫信号的号码;没信号时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其他呼叫用指示灯显示)。 (3)凡有呼叫发出5s的呼叫声(可通过LED灯5s闪烁模拟)。 (4)当护士接收到信号,按下复位键时数码管被清零,而且不能影响下次呼叫的进行。 2、方案设计: 临床求助呼叫是传送临床信息的重要手段,病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,可将病人的请求快速传送给值班医生和护士,并在值班室的监控屏幕上留下准确完整的记录,是提高医院和病房护理水平的必备设备之一。监控机构一般放置在护士值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,向值班室呼叫,并点亮呼叫指示灯。监控机构和呼叫源之间通过数据线连在一起。 本设计为一个模拟系统,通过各类芯片的组合来实现该系统的基本功能,完成各项操作。病床呼叫系统能对5张病床进行统一监护,能够对不同优先级的呼叫进行优先响应处理,对发出呼叫的病床有指示灯进行提示,还能显示优先级最高的呼叫号,并发出5s的呼叫声(用一个闪烁的指示灯模拟),当护士接收到信号,按下复位键时显示管被清零。 通过对设计的要求分析,可以将电路大致分为四大模块,触发清零模块、编码及译码器译码模块、滤除优先级及显示模块、计数器5s警报模块,通过对四大模块的整合,即可基本达到实验要求,实现系统功能。如图1所示,该系统分为三大部分:左边方框是病房的呼叫输入端,包括5个呼叫按钮;右边方框为护士站的呼叫处理端,包括5个指示灯、一个数码管显示器和一个响应复位开关; 图1 病床呼叫系统设计框图

EDA课程设计--病房呼叫系统地设计

病房呼叫系统的设计 1 设计要求 1.用5个开关模拟5个病房的呼叫输入信号,1号优先级最高;1到5优先级依次降低; 2.用一个数码管显示呼叫信号的;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3.凡有呼叫发出5秒的呼叫声; 4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理(附加)。 2 设计思路及方案 用74148优先编码器来实现病房呼叫的优先显示,用7447七段字形译码器接半导体数码管来用数字显示最高优先级的病房号,用计数器74193来实现模五的计数器,调节clock输入脉冲的频率来实现五秒的控制来实现闪烁五秒。再用D触发器来实现锁存和复位清零功能。 3 设计过程 3.1 优先编码和数据清零模块 优先编码和数据清零模块的电路图如图1所示。

图1 优先编码和数据清零模块 该病房呼叫系统一共有6个输入,分别是1~5号病房的呼叫开关 num1~num5和1个复位清零健action(初始工作时至“1”),这六个开关配合D触发器来实现清零复位。由于74148低电平有效,所以输入74148前先用非门取反,此电路用到的74148管脚输入对应输出真值表如表1所示。 表1 74148输入输出真值表 输入输出X X X X 0 0 0 1 X X X 0 1 0 1 0 X X 0 1 1 0 1 1 X 0 1 1 1 1 0 0 0 1 1 1 1 1 0 1

模五计数器电路图如图2所示。 图2 模五计数器电路图 如图先将74193接成模五计数器,当有病房呼叫时CLR为0,计数器计数,当没有病房呼叫时计数器清零,通过调节clock输入脉冲的频率来实现闪烁五秒的控制。 3.3 显示病房号功能 显示病房号功能的电路图如图3所示。 图3 显示病房号的电路图 说明:该模块的输入为74148的输入,通过7447七段字形译码器进译码,将结果输出到半导体数码管进行显示。

病床呼叫系统控制课程设计..

目录 第1 章控制工艺流程分析 (1) 1.1 病床呼叫系统控制过程描述 (1) 1.2 病床呼叫系统控制工艺分析 (1) 第2 章控制系统总体方案设计 (2) 2.1 系统硬件组成 (2) 2.2 控制方法分析 (2) 2.3 I/O分配 (3) 2.4 系统结线图设计 (4) 第3 章控制系统梯形图程序设计 (5) 3.1 控制程序流程图设计 (5) 3.2 控制系统时序图 (5) 3.3 控制程序设计思路 (7) 第4 章监控系统设计 (8) 4.1 PLC 与上位监控软件通讯 (8) 4.2 上位监控系统组态设计 (8) 4.3 实现的效果 (8) 第5 章系统调试及结果分析 (10) 5.1 系统调试及解决的问题 (10) 5.2 结果分析 (10) 课程设计心得 (11) 参考文献 (12) 附录 (13)

第 1 章控制工艺流程分析 1.1 病床呼叫系统控制过程描述 本课程设计主要用于医院病务区的病人有紧急或自己不方便的事件时呼叫护士站寻求帮助,护士根据站内指示灯及响铃获取求助信息的来源,并能及时的给其提供帮助。护士在站内发现信号时及时将站内灯及响铃复位,在处理完后再在病床前的开关将病床及病房的灯复位。 1.2 病床呼叫系统控制工艺分析 病床呼叫系统有主机、从机等两部分组成。从机(呼叫源)即病床按钮,主机包括PLC及显示和监护系统。主机中PLC工作方式为循环扫描方式,在系统程序控制下,PLC顺序读入输入端口各呼叫源的状态,并且不断地循环扫描。一旦有呼叫按钮按下,PLC立即响应,通过设置的程序实现对系统的控制。 ①拟定控制系统设计的技术要求; ②选择电气传动形式和电动机、电磁阀等执行元件; ③选定PLC型号; ④编制PLC的输入/输出端子接线图; ⑤根据系统设计的要求编写软件规格说明书,然后在用相应编程语言进行程序设计; ⑥设计操作台、电气柜及非标准电器元件; ⑦编写设计说明书和使用说明书。 1.共3个病房,每间病房4个床位。每一病床床头均有紧急呼叫按钮及重置按钮,以利病人不适时紧急呼叫。 2.设每一层楼有一护士站,每一护士站均有该层楼病人紧急呼叫与处理完毕的重置按钮。 3.每一病床床头均有一紧急指示灯,一旦病人按下紧急呼叫按钮且未在5s内按下重置按钮时,该病床床头紧急指示灯动作且病房门口紧急指示灯闪烁,同时同楼层的护士站显示病房紧急呼叫并闪烁指示灯。 4.在护士站的病房紧急呼叫中心,每一病房都有编号,用指示灯显示哪一病房先按下病人紧急呼叫按钮,并要具有优先级判别的能力。 5.一旦护士看见护士站紧急呼叫闪烁灯后,须先按下护士处理按钮以取消闪烁情况,再依病房紧急呼叫顺序处理病房紧急事故,若事故处理妥当后,病房紧急闪烁指示灯和病床上的紧急指示灯方町被重置。 6.主机监控系统显示后,出现相应的声、光报警指示,以便提示医护人员尽快赶到现场。

PLC病床呼叫系统要点

广东石油化工学院 机电工程学院 课程设计报告 设计题目:病床呼叫系统 指导教师:邓昌奇 专业:机械设计制造及其自动化班级: 姓名: 学号:

设计二病床呼叫系统 摘要 课程设计是整个教学过程的倒数第二个环节,是将课堂教学与社会实践紧密联结的纽带,为明年的毕业设计做铺垫和让我们做好思想准备。通过课程设计,可以将我们课堂上所学的理论知识应用于生产实践中,去解决具体的工程应用问题,加强实践能力的锻炼,提高分析问题与解决问题的能力。 课程设计主要用于医院病务区的病人有紧急或自己不方便的事件时呼叫护士站寻求帮助,护士根据站内指示灯及响铃获取求助信息的来源,并能及时的给其提供帮助。护士在站内发现信号时及时将站内灯及响铃复位,在处理完后再在病床前的开关将病床及病房的灯及铃复位。 关键词:PLC、工作原理、复位

目录 设计要求、设计任务 (1) 第一章方案构思 (2) 1.1 可编过程控制器的基本结构及工作原理 (2) 1.2 整体方案设计思路 (2) 第二章控制系统总体方案设计 (4) 2.1 PLC简介 (4) 2.2 系统硬件组成 (4) 2.3 控制方法分析 (4) 2.4 I/O分配 (4) 第三章PLC控制程序设计 (6) 3.1 I/O接线图设计 (6) 3.2控制系统流程图设计 (7) 3.3控制系统时序图 (7) 3.4实现的效果 (8) 第四章程序设计与调试 (10) 4.1系统调试及解决的问题 (10) 4.2结果分析 (10) 总结 (11) 参考文献................................................................................... . (11) 附录一 (12) 附录二 (18)

医院病房呼叫器的使用注意事项

病房呼叫系统使用方法: 1、分机呼叫主机:按一病房呼叫系统分机床头垂线呼叫按钮。分机上“叫通指示”灯点亮主机发出音乐振铃声,病员一览表相对应的卡片上有灯光闪烁,同时分机也伴有音乐振铃声。数码显示窗口上显示该分机号。在音乐振铃期间,护士摘起主话机即可与病员通话,通话结束,将主话机挂机,系统恢复待机状态。如果直到振铃结束,护士未摘主话机,主机将该分机号存贮,若想再与该分机通话,需按主机呼叫分机操作。 2、主机呼叫分机:摘起主话机按一下欲呼叫的床位对应的小按钮或是在主话机上键入两位分机号,被叫通的分机将发出“嘟”一声提示音表示已接通。如果所拨叫的分机不存在,听筒里将发出“嘀、嘀、嘀、嘀—”的声音作为提示,然后重新给出拨号音,您可以重新拨叫。 3、呼叫存贮与清除:分机呼叫主机而主机无人处理时,主机将该分机号存贮,最多可存贮9个。存贮的分机上“叫通指示”灯点亮。一览表上对应指示灯闪亮,数码显示窗口及走廊显示屏轮翻显示所存贮的内容。第一位数是存贮顺序,数字越大,存贮的越早;后两位为分机号。欲清除存贮的内容方法有以下几个:第一、在待机状态按一下一览表上对应按钮;第二、按一下分机上的清除按钮;第三、主机可以拨叫分机并与其通话。 4、护理级别设定:在待机状态按一下特护设定键,对应指示灯点亮表示已进入设定状态,再按一下特护设定按键,对应指示灯熄灭,系统进入待机状态,并将您设定的床位永久地保存(停电不丢失)。直到您下次重新设定。如果键入一次后1分钟内无新的键入主机将现有设定保存,并恢复到待机状态。 5、话筒统播:摘起主话机按一下“话筒统播”按键(或是在主话机上键入“77”键),对应指示灯点亮,数码窗口显示“77”即可。主要用于下通知,找人等使用。挂机即恢复待机状态。

病房呼叫系统施工方案

病房呼叫系统施工方案 Company number:【0089WT-8898YT-W8CCB-BUUT-202108】

1.3. 2.6 病房呼叫系统施工方案 病房呼叫系统主要用于医院护理区、以沟通住院病人与医护人员的联络,提高医院的护理水平,减轻护士劳动强度,使病人能得到良好与及时的医疗和护理服务,为医院上等级而开发的配套产品。 本系统由对讲主机、分机和走廊大显示屏组成。本系统每台主机和各分机之间使用两根总线并联,不分极性,施工既简单又节省材料。用户可自由选择用明装分机或暗装分机。每张病床设分机一个,连接手持式呼叫按钮,放音逼真、清晰。主机设置于护士站内,每个护士站设一台,在走廊内设一块四位、双面走道显示屏,平时显示时间,有病床呼叫护士时,走廊显示屏显示呼叫病床床号。 本系统二层母婴同室护理单元、四至十一层标准护理单元每个护士站配置一台90路对讲型主机,在走廊中间位置设一块双面显示屏;病区每张病床安装一只对讲分机,在每间病房的卫生间内安装一只防水开关,每间病房门口设一只门灯,每张病床安装的对讲分机为超薄型分机,安装在病房设备带上;卫生间防水开关安装高度为高地1.1m;门灯安装在走廊倒各病房门套上。 三层血透中心每张病床安装一只对讲分机,护办配置一台30路对讲型主机,在走廊基本中间位置设一块双面显示屏。 一层大输液厅每张座椅安装一只对讲分机,护士站配置一台30路和90路主机。 二层儿童输液厅每张座椅安装一只对讲分机,治疗室配置一台60路主机。 护理单元及血透中心每张病订安装的对讲分机为超薄型分机,安装在病房设备带上;系统采用总线式,对讲主机对各对讲分机、防水开关之间采用手拉手方式连接。输液大厅和儿童输液厅呼叫器由吊平顶内沿输液杆引下安装,卧室输液厅呼叫器安装在设备带上(若无设备带,则离地1.1米墙面安装)。

相关文档
相关文档 最新文档