文档库 最新最全的文档下载
当前位置:文档库 › 数字电子技术基础 第一章

数字电子技术基础 第一章

数字电子技术基础  第一章
数字电子技术基础  第一章

数字电子技术基础 第一章

一、单选题(每题1分)

1. 逻辑函数Y = A ⊕B 与 Y = A ⊙B 满足 关系。

A 互非

B 对偶

C 相等

D 无任何关系

2. 标准与或式是由 构成的逻辑表达式。

A 最大项之积

B 最小项之积

C 最大项之和

D 最小项之和

3. 在下列各图中,或非逻辑对应的逻辑图是 。

A

B

C

D 4. 逻辑函数 ()F =A B+C +D

E 的反函数为 。

A ()A+BC D+E

B ()A+B

C DE C ()A+BC D+E

D ()A+BC D

E 5. 求一个逻辑函数

F 的对偶式,下列哪种说法不正确:

A “.”换成“+”,“+”换成“.”

B 原变量换成反变量,反变量换成原变量

C 变量不变

D 常数中的“0”换成“1”,“1”换成“0”。

6. n 变量可以构成 个最小项。

A n

B 2×n

C 2n

D 2 n

- 1 7. 函数Y =AB+BC+AC 与AC BC AB Y ??= 。

A 相等

B 互为反函数

C 互为对偶式

D 答案都不正确

8. 下列关于n 变量最小项“相邻性” 描述正确的是 。

A 两个最小项只有一个因子不同

B 两个最小项只有一个因子相同

C 两个最小项没有一个因子不同

D 两个最小项所有的因子都不同

9. 函数Y =A+B+B+C +C +A 是最简 表达式。

A 或与

B 与或

C 与非与非

D 或非或非

10. 十进制数127.25对应的二进制数为 。

A 1111111.01

B 10000000.10

C 1111110.01

D 1100011.11

11. 在何种输入情况下,“与非”运算的结果式为逻辑“0”

A 全部输入是“0”

B 任意输入是“0”

C 仅一输入是“0”

D 全部输入是“1”

12. 函数A ⊕B 与B ⊕A 。

A 互为反函数

B 互为对偶式

C 相等

D 答案都不正确

13. 同或逻辑对应的逻辑图是 。

A B

C

D

14. 逻辑函数 F = A ⊕A = 。

A 0

B 1

C A

D A

1. 15. 求一个逻辑函数F 的反函数,下列哪种说法不正确: 。

A “.”换成“+”,“+”换成“.”

B 原变量换成反变量,反变量换成原变量

C 变量不变

D 常数中的“0”换成“1”,“1”换成“0”

16. 下列函数中 式是函数Z=AB+AC 的最小项表达式。

A Z =ABC +ABC +ABC

B Z =AB

C +ABC +ABC

C Z =AB+BC+AC

D C AB C B A ABC ++

17. 在下列各图中,异或逻辑对应的逻辑图是 。

A

B

C

D 二、填空题(每题1分)1. 将十进制数(21.5)10转换成二进制数是( ),转换成十六进制数是( )。 2. 逻辑函数F(A,B,C)=A+BC 的最小项表达式是 ( )。

3. 请将十进制数112转换为十六进制数()。将二进制数(10100.011)2

转换为八进制数( )。

4. 逻辑函数F=AB+CD 的最小项表达式为( )。

5. (100101010011.00110111)8421BCD 表示的十进制数是( )。

6. 为了把时序电路的逻辑功能直观、形象地显示出来,可将其逻辑关系表示为( )、()或( )的形式。

7. 将二进制数(1101001.01101)2转换成十进制数是( ),

转换成十六进制数是( )。

8. 逻辑函数 F=AB+C 的最小项表达式为( )。

9. 逻辑函数F = A+CD 的最小项表达式为( )。

10. 逻辑函数F=AB+C 的最小项表达式为 ( )。

11. 逻辑变量和函数只有( )和( )两种取值,而且它们只是表示两种不同的逻辑状态。 12. 用对偶规则写出逻辑函数F =AB+CD 的对偶式是( )。

13. 三种基本逻辑门是( )、( )、( )。

14. 请将十进制数112转换为十六进制数( )。将二进制数(10100.011)2

转换为八进制数( )。

15. 请将十进制数102转换为二进制数( )。将二进制数(11011.1010)2转换为十进制 数( )

16. 逻辑代数中的三种基本运算是( )、( )、( )。

17. 用对偶规则写出逻辑函数F AB AC BC =++的对偶式是( )。

18. 请将十进制数342转换为二进制数( )。将二进制数(111011.0111)2转换为十进制数( )。 19. 描述逻辑函数各个变量取值组合和函数值对应关系的表格叫( )。

20. 逻辑函数Y 的卡诺图若所有格全为1,则Y=( )。

21. 将二进制、八进制和十六进制数转换为十进制数的共同规则是( )。

22. 数字量的变化在时间上和数量上都是( ),表示数字量的信号叫( ),

工作在其下的电子电路叫做( )。

23. 十进制数263.27对应的8421 BCD 码是( )。

24. 请将十进制数58转换为二进制数( )。将二进制数(101001.1101)2

转换为十进制数( )。

25. 用反演规则写出逻辑函数F =AB+CD 的反函数是( )。

26. 用与、或、非等运算表示函数中各个变量之间逻辑关系的代数式叫( )。

27. 十进制数(126.5)10 = 二进制数( ) = 十六进制数( )。

28. 由1⊕1⊕1结果为( )、由1⊕1⊕1⊕1的结果是( ),由此可知奇数个“1”异或起来结果为( ),偶数个“1”异或结果是( )。

3 29. 任意两个最小项之积恒为( ),全体最小项之和恒为( )。

30. 请将十进制数43转换为二进制数( )。将二进制数(0.0010)2转换为十进制数( )。 31. 在最小项的性质中有:n 变量的全体最小项之和为( ),任意两个最小项之积为( )。 32. 逻辑函数F=AC+B 的最小项表达式为()。

三、化简题(每题1分)1. 用公式化简函数Y =ABC +ACD+AC

2. 卡诺图化简 Y (A,B,C,D )=∑m(2,3,7,8,11,14)

约束条件为m0+ m5+ m10+ m15=0

3. 代数法化简:ABD+ABCD+ACDE+A

4. 用卡诺图化简函数()()m Y A,B,C,D =1,3,5,7,8,9,10,11,14,15∑

5. 用公式化简函数Y ABC A B C =+++

6. 公式化简AC BC AB ++

7. 公式化简 ()()AB D AB BC BC CD BD ++++

8. 用公式化简函数 Y AB BC BC =++

9. 用公式化简函数Y =AB+A C +BC +CD+D

10. 公式化简 ()AC +BC +BD+CD+A B+C +ABCD+ABDE

11. 用公式化简函数()()()Y A C BD A BD B C DE BC =+++++

12. 用公式化简函数()()Y AC CD AB BC B AD CE =++++

13. 卡诺图化简 Y(A,B,C,D)= ∑m(3,11,15)

14. 用公式化简函数()Y =A CD+CD +BCD+ACD+A CD

15. 用卡诺图化简函数Y(A,B,C,D)=∑m(1,3,5,7,8,9,10,11,14,15)

16. 用公式化简函数 Y AB B AB =++

17. 用卡诺图化简函数Y(A,B,C,D)= ∑m(0,1,2,3,6,8,13,15)+ ∑d(10)

18. 卡诺图化简 Y(A,B,C,D)= ∑m(0,1,2,3,4,6,8,9,10,11,14)

19. 卡诺图化简 Y(A,B,C,D)= ∑m(0,1,2,3,4,6,8,9,10,11,14,15)

20. 用公式化简函数Y AB A C BC CD D =++++

21. 用卡诺图化简函数()()m Y A,B,C,D =

0,13,14,15∑ 约束条件为()d 1,2,3,9,10,11=0∑

22. AC +BC +AB

23. 卡诺图化简 Y (A,B,C,D )=∑m(3,5,6,7,10)

约束条件为m0+ m1+ m2+ m4+ m8=0

24. 卡诺图化简 Y (A,B,C,D )=∑m(3,5,6,7,10)

约束条件为m0+ m1+ m2+ m4+ m8=0

25. 用卡诺图化简函数Y(A,B,C,D)= ∑m(0,1,2,3,6,8,13,15)+ ∑d(5,7,10)

26. 卡诺图化简 Y(A,B,C,D)= ∑m(0,1,2,5,8,9,10,12,14)

27. 代数法化简:ABC +AB+AD+C +BD

28. 卡诺图化简 Y(A,B,C,D)= ∑m(2,3,7,9,11,15)

29. 卡诺图化简 Y (A,B,C,D )=∑m(2,3,7,11,14)

约束条件为m0+ m5+ m8+ m10+ m15 =0

30. 用卡诺图化简函数Y(A,B,C,D)=Σm(m1,m4,m5,m6,m10)

约束条件为m3+m7+m11+m12+m13+m14+m15=0

31. 用公式化简函数 ()()Y AB A CD AD BC A B =+++

32. 用卡诺图化简函数Y(A,B,C,D)=Σm(m0,m13,m14,m15)

约束条件为m1+m2+m3+m9+m10+m11=0

33. 卡诺图化简 Y (A,B,C,D )=∑m(3,5,6,7,10,13,15)

约束条件为m0+ m1+ m2+ m4+ m8 =0

34. 用卡诺图化简函数Y(A,B,C,D)=Σm(0,1,2,3,4,6,8,9,10,11,12,13,14)

35. 用卡诺图化简函数Y(A,B,C,D)=Σ(m2,m3,m6,m7,m8,m10,m12,m14)

36. 公式化简 Y =AB+AB+AB

37. 公式化简 AB+A C +BC +CD

38. 用卡诺图化简函数 Y(A,B,C,D)=Σ(m1,m2,m3,m5,m6,m7,m8,m9,m10,m11,m13,m14,m15)

39. 用公式化简函数Y =AC +ABC +ACD+CD

数字电子技术基础 第一章 A 卷答案

一、单选题(每题1分)

1.A

2.D

3.B

4.C

5.B

6.C

7.B

8.A

9.D 10.A

11.D 12.C 13.A 14.A 15.C 16.D 17.D

二、填空题(每题1分)

1. (10101.1)2、(15.8)16

2. ()F A,B,C =ABC+ABC+ABC+ABC+ABC

3. (70)16、(2

4.3)8 4. ∑m(3,7,11,12,13,14,15)

5. 953.37

6. 状态转换表、状态转换图、波形图

7. (105.40625)10 、(69.68)16

8. ∑m (1,3,5,6,7) 9. ∑m(3,7,8,9,10,11,12,13,14,15) 10. ∑m (1,3,5,6,7) 11. 0、1 12. ()()'F =A+B C +D 13. 与门、或门、非门 14. (70)16、(24.3)8 15. (1100110)2、27.625 16. 与、或、非 17. ()()()'F A B A C B C =+++ 18. (101010110)2、(59.4375)10 19. 逻辑真值表(真值表) 20. 1

21. 按权展开 22. 离散的、数字信号、数字电子电路(数字电路)

23. 001001100011.00100111 24. (111010)2、(41.8125)10 25. ()()F =A+B C +D 26. 逻辑表达式(表达式) 27. (1111110.1)2、(7E .8)16 28. 1、0、1、0

29. 0、1 30. (101011)2、(0.125)10 31. 1、0 32. ∑m (2,3,5,6,7)

三、化简题(每题1分)

1. Y AB AC CD =++

2

4

.

3. A 5. Y =1

6. ()

AC BC AB AC B A C AC BAC AC B ++=++=+=+

7. AB D ABC ABCD ABCD BC BCD BCD =+++++++C B D B A ++= 8. AB C + 9. Y=1

10. =AC +AC +AB+BD+ABCD+BC +CD+ABDE

=A+BD+BC +CD

=A+BD+BC

11. Y=B 12. ABCDE

13. 15

14. Y A CD CD =+ 16. A B + 20. Y=1

17. 18. 19.

21. 23. 22、AC+B

24. 25 26

.

26、D C A C B D A D B Y +++=

27. C +B+D D C A C B D A D B Y +++=

28. 29.

30.

32.

31. 0

33 34.

.

C

A

D

B

Y+

+

=

35.

36.Y A B

=+37.AB+A C+C+CD39.Y=A+CD

38.

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器 三、(本题30分)

由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 (3)输出Z 的序列是0010001100 C R R CC u o

第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答: 1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。 解: (1)真值表

《数字电子技术基础》课后习题答案

《数字电路与逻辑设计》作业 教材:《数字电子技术基础》 (高等教育出版社,第2版,2012年第7次印刷)第一章: 自测题: 一、 1、小规模集成电路,中规模集成电路,大规模集成电路,超大规模集成电路 5、各位权系数之和,179 9、01100101,01100101,01100110; 11100101,10011010,10011011 二、 1、× 8、√ 10、× 三、 1、A 4、B 练习题: 1.3、解: (1) 十六进制转二进制: 4 5 C 0100 0101 1100 二进制转八进制:010 001 011 100 2 1 3 4 十六进制转十进制:(45C)16=4*162+5*161+12*160=(1116)10 所以:(45C)16=(10001011100)2=(2134)8=(1116)10 (2) 十六进制转二进制: 6 D E . C 8 0110 1101 1110 . 1100 1000 二进制转八进制:011 011 011 110 . 110 010 000 3 3 3 6 . 6 2 十六进制转十进制:(6DE.C8)16=6*162+13*161+14*160+13*16-1+8*16-2=(1758.78125)10 所以:(6DE.C8)16=(011011011110. 11001000)2=(3336.62)8=(1758.78125)10

(3) 十六进制转二进制:8 F E . F D 1000 1111 1110. 1111 1101二进制转八进制:100 011 111 110 . 111 111 010 4 3 7 6 . 7 7 2 十六进制转十进制: (8FE.FD)16=8*162+15*161+14*160+15*16-1+13*16-2=(2302.98828125)10 所以:(8FE.FD)16=(100011111110.11111101)2=(437 6.772)8=(2302.98828125)10 (4) 十六进制转二进制:7 9 E . F D 0111 1001 1110 . 1111 1101二进制转八进制:011 110 011 110 . 111 111 010 3 6 3 6 . 7 7 2 十六进制转十进制: (79E.FD)16=7*162+9*161+14*160+15*16-1+13*16-2=(1950. 98828125)10 所以:(8FE.FD)16=(011110011110.11111101)2=(3636.772)8=(1950.98828125)10 1.5、解: (74)10 =(0111 0100)8421BCD=(1010 0111)余3BCD (45.36)10 =(0100 0101.0011 0110)8421BCD=(0111 1000.0110 1001 )余3BCD (136.45)10 =(0001 0011 0110.0100 0101)8421BCD=(0100 0110 1001.0111 1000 )余3BCD (374.51)10 =(0011 0111 0100.0101 0001)8421BCD=(0110 1010 0111.1000 0100)余3BCD 1.8、解 (1)(+35)=(0 100011)原= (0 100011)补 (2)(+56 )=(0 111000)原= (0 111000)补 (3)(-26)=(1 11010)原= (1 11101)补 (4)(-67)=(1 1000011)原= (1 1000110)补

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

数字电子技术基础习题及答案..

; 数字电子技术基础试题 一、填空题 : (每空1分,共10分) 1. 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。【 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 图 1

2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 ( C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>Ω) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 " 5.请判断以下哪个电路不是时序逻辑电路()。图2 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。图2 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如图 3所示,则该电路为()。

图3 . A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、B、C、D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 \ A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

数字电子技术基础习题与答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.1是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 三.化简逻辑函数(14) 1.用公式法化简- -+++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑=m d D C B A Y ),,,,()+,,,,(84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画 出Q 端波形(设初态Q =0)(15) 解;(1)AQ Q Q n +=- +1,(2)、A Q n =+1 六.试用触发器和门电路设计一个同步的五进制计数器。(15) 七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工作 波形,并求出振荡频率。(15)

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

数字电子技术基础第五版

数字电子技术基础第五版习题解答: 本书是为配合清华大学电子学教研组编、阎石主编的《数字电子技术基础》(第五版)教材的使用而编写的习题解答。书中除包含有《数字电子技术基础》(第五版)全部习题的详细解答以外,还含有各章习题的分类以及每种类型题目的解题方法和步骤等内容。 数字电子技术基础(第5版): 数字电子技术基础(第5版)》是2006年高等教育出版社出版的图书,作者是阎石、清华大学电子学教研组。 内容简介: 本书是普通高等教育“十五”国家级规划教材。本书以前各版曾分别获得北京市教育教学成果一等奖、国家教委优秀教材一等奖、国家级优秀教材奖。 新版教材是在基本保持第四版教材内容、理论体系和风格的基础上,按照教育部2004年修订的“数字电子技术基础课程教学基本要求”修订而成的。本次修订除改写了部分章节外,还增加了硬件描述语言和EDA软件应用的基础知识。此外,还在多数小节后面增设了复习思考题。为了便于教学,也为了便于读者今后阅读外文教材和使用外文版的EDA软件,书中采用了国际上流行的图形逻辑符号。 全书主要内容有:数制和码制、逻辑代数基础、门电路、组合逻辑电路、触发器、时序逻辑电路、半导体存储器、可编程逻辑器件、硬件描述语言、脉冲波形的产生和整形、数-模和模-数转换等共11章。

本书可作为电气信息类、仪器仪表类各专业的教科书,也可供其他相关理工科专业选用以及社会选者阅读。 作者简介: 阎石,清华大学教授、全国高等学校电子技术研究会理事长。1937年生人。1958年毕业于清华大学自动控制系,其后一直在清华大学从事电子技术的教学与科研工作。曾任国家教委工科本科基础课程教学指导委员会第一、二届委员,华北地区高等学校电子技术教学研究会理事长。1989年与童诗白教授等一起获得普通高等学校优秀教学成果国家级特等奖。主编的《数字电子技术基础》第二版获国家教委优秀教材一等奖,第三版获国家优秀教材奖,第四版获北京市教育教学成果一等奖。 主要著作有:《数字电子技术基础》第一、二、三、四版,高等教育出版社分别于1981年、1984年、1989年、1998年出版;《电子技术基础学习指导》,辽宁科技出版社,1985年出版;《数字电子电路》,中央电大出版社,1993年出版;《数字电子技术荩础(第四版)教师手册》,高等教育出版社,2003年出版;《帮你学数字电子技术基础》,高等教育出版社,2004年出版。

数字电子技术基础第三版第一章答案

第一章数字逻辑基础 第一节重点与难点 一、重点: 1.数制 2.编码 (1) 二—十进制码(BCD码) 在这种编码中,用四位二进制数表示十进制数中的0~9十个数码。常用的编码有8421BCD码、5421BCD码和余3码。 8421BCD码是由四位二进制数0000到1111十六种组合中前十种组合,即0000~1001来代表十进制数0~9十个数码,每位二进制码具有固定的权值8、4、2、1,称有权码。 余3码是由8421BCD码加3(0011)得来,是一种无权码。 (2)格雷码 格雷码是一种常见的无权码。这种码的特点是相邻的两个码组之间仅有一位不同,因而其可靠性较高,广泛应用于计数和数字系统的输入、输出等场合。 3.逻辑代数基础 (1)逻辑代数的基本公式与基本规则 逻辑代数的基本公式反映了二值逻辑的基本思想,是逻辑运算的重要工具,也是学习数字电路的必备基础。 逻辑代数有三个基本规则,利用代入规则、反演规则和对偶规则使逻辑函数的公式数目倍增。 (2)逻辑问题的描述 逻辑问题的描述可用真值表、函数式、逻辑图、卡诺图和时序图,它们各具特点又相互关联,可按需选用。 (3)图形法化简逻辑函数 图形法比较适合于具有三、四变量的逻辑函数的简化。 二、难点: 1.给定逻辑函数,将逻辑函数化为最简 用代数法化简逻辑函数,要求熟练掌握逻辑代数的基本公式和规则,熟练运用四个基本方法—并项法、消项法、消元法及配项法对逻辑函数进行化简。 用图形法化简逻辑函数时,一定要注意卡诺图的循环邻接的特点,画包围圈时应把每个包围圈尽可能画大。 2.卡诺图的灵活应用 卡诺图除用于简化函数外,还可以用来检验化简结果是否最简、判断函数间的关系、求函数的反函数和逻辑运算等。 3.电路的设计 在工程实际中,往往给出逻辑命题,如何正确分析命题,设计出逻辑电路呢?通常的步骤如下:

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数字电子技术基础习题答案

数字电子技术基础习题答案

数字电子技术基础答案 第1章 自测题 1.1填空题 1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. n2 4. 逻辑代数卡诺图 5.) (D C B A F ) (D C B A F+ =' 6.) )( (C B D C B A F 7. 代数法卡诺图8. 1 1.2判断题 1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C 1.4 A F= 1⊙B AB F 2 B A F+ = 3 1.5 A B L 0 0 1 0 1 0 1 0 0 1 1 1 1.6 C L=

1.7 AB C B A BC Y 习题 1.1 当0000 1 2 A A A ,7 A 到3 A 有1个不为0时,就可以 被十进制8整除 1.2 (a)AC BC AB F ++=1 (b )B A AB F +=2 (c)C B A S ⊕⊕= AC BC AB C 0 1.3略 1.4 (1) )(B A D C F )(1 ) )((1B A D C F ++=' (2) ) (B A B A F )(2 ) )((2B A B A F ++=' (3) E D C B A F 3 D E C AB F =' 3 (4) ) ()(4D A B A C E A F )( ) )()((4D A C AB E A F +++=' 1.5 C B A F ⊕⊕= 1.6 (1) B A C B C A L (2) D B C B D C A L (3) AD L (4) E ABCD L (5) 0 L 1.7 C B A B C A C AB ABC C B A L ),,( 1.8(1) ABD D A C F 1 (2) BC AB AC F 2 (3) C A B A B A F 3 (有多个答案) (4) C B D C AB C A C D F +++=4 (5) C B A ABD C B A D B A F 5 (6) 1 6 F 1.9 (1) AD D C B B A F 1 (2) B A A C F 2 (3) D A D B C B F 3 (4) B C F 4

数字电子技术基础习题及答案

《数字电子技术》习题 一. 单项选择题: 1.十进制数128的8421BCD码是()。 A.10000000 B. 000100101000 C.100000000 D.100101000 2.已知函数F的卡诺图如图1-1, 试求其最简与或表达式 3. 已知函数的反演式为 ,其原函数为()。 A. B. C. D. 4.对于TTL数字集成电路来说,下列说法那个是错误的:(A)电源电压极性不得接反,其额定值为5V; (B)不使用的输入端接1; (C)输入端可串接电阻,但电阻值不应太大; (D)OC门输出端可以并接。 5.欲将正弦信号转换成与之频率相同的脉冲信号,应用 A.T,触发器 B.施密特触发器 C.A/D转换器 D.移位寄存器 6.下列A/D转换器中转换速度最快的是()。 A.并联比较型 B.双积分型 C.计数型 D.逐次渐近型 7. 一个含有32768个存储单元的ROM,有8个数据输出端,其地址输入端有()个。 A. 10 B. 11 C. 12 D. 8

8.如图1-2,在TTL门组成的电路中,与非门的输入电流为I iL≤–1mA?I iH≤20μA。G1输出低电平时输出电流的最大值为 I OL(max)=10mA,输出高电平时最大输出电流为 I OH(max)=–0.4mA 。门G1的扇出系数是()。 A. 1 B. 4 C. 5 D. 10 9.十数制数2006.375转换为二进制数是: A. 11111010110.011 B. 1101011111.11 C. 11111010110.11 D. 1101011111.011 10. TTL或非门多余输入端的处理是: A. 悬空 B. 接高电平 C. 接低电平 D.接”1” 二.填空题(每小题2分,共20分) 1.CMOS传输门的静态功耗非常小,当输入信号的频率增加时,其功耗将______________。 2. 写出四种逻辑函数的表示方法: __________________________________________________________ _____; 3.逻辑电路中,高电平用1表示,低电平用0表示,则称为___逻辑; 4. 把JK触发器改成T触发器的方法是_____________。 5. 组合逻辑电路是指电路的输出仅由当前的_____________决定。 6. 5个地址输入端译码器,其译码输出信号最多应有 _____________个。 7. 输入信号的同时跳变引起输出端产生尖峰脉冲的现象叫做 _____________。 8.一片ROM有10根地址线,8根数据输出线,ROM共有________个存储单元。 9.N个触发器组成的计数器最多可以组成_____________进制的计数器。 8. 基本RS触发器的约束条件是_____________。 三.电路分析题(36分)

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 …… …… … … …… …密 … … …… … … … … 封 …… … … … … … … … … 装 … … … … … … … 订 … … … … … … … … … 线 … … … … … … … … … 学院 专业 (班级) 姓名 学号 …… … … … … 线 …

6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。 图 D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 A B C F

最新数字电子技术基础教材第四章答案

习题4 4-1 分析图P4-1所示的各组合电路,写出输出函数表达式,列出真值表,说明电路的逻辑功能。 解:图(a ):1F AB =;2 F A B =;3F AB = 真值表如下表所示: A B 1F 2F 3F 0 0 0 1 0 0 1 0 0 1 1 0 1 0 0 1 1 1 其功能为一位比较器。A>B 时,11F =;A=B 时,21F =;A

功能:一位半加器,1F 为本位和,2F 为进位。 图(c ):1(0,3,5,6)(1,2,4,7)F M m = =∑∏ 2(0,1,2,4)(3,5,6,7)F M m ==∑∏ 真值表如下表所示: 功能:一位全加器,1F 为本位和,2F 为本位向高位的进位。 图(d ):1F AB =;2 F A B =;3F AB = 功能:为一位比较器,AB 时,3F =1 4-2 分析图P4-2所示的组合电路,写出输出函数表达式,列出真值表,指出该电路完成的逻辑功能。

解:该电路的输出逻辑函数表达式为: 100101102103F A A x A A x A A x A A x =+++ 因此该电路是一个四选一数据选择器,其真值表如下表所示: 1A 0A F 0 0 0x 0 1 1x 1 0 2x 1 1 3x 4-3 图P4-3是一个受M 控制的代码转换电路,当M =1时,完成4为二进制码至格雷码的转换;当M =0时,完成4为格雷码至二进制的转换。试分别写出0Y ,1Y ,2Y ,3Y 的逻辑函数的表达式,并列出真值表,说明该电路的工作原理。

数字电子技术基础答案

数字电子技术基础答案 第1章 自测题 1.1填空题 1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. n 2 4. 逻辑代数 卡诺图 5.)(D C B A F += )(D C B A F +=' 6.))((C B D C B A F +++= 7. 代数法 卡诺图 8. 1 1.2判断题 1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C 1.4 A F =1⊙B AB F =2 B A F +=3 1.5 1.6 C L = 1.7 AB C B A BC Y ++= 习题 1.1 当000012=A A A ,7A 到3A 有1个不为0时,就可以被十进制8整除 1.2 (a)AC BC AB L ++=(b )B A AB L += (c)C B A S ⊕⊕= AC BC AB C ++=0 1.3略 1.4 (1) )(B A D C F ++=)(1 ))((1B A D C F ++=' (2) )(B A B A F ++=)(2 ))((2B A B A F ++=' (3) E D C B A F =3 DE C AB F =' 3

(4) )()(4D A B A C E A F +++=)( ))()((4D A C AB E A F +++=' 1.5 C B A F ⊕⊕= 1.6 (1) B A C B C A L ++= (2) D B C B D C A L +++= (3) AD L = (4) E ABCD L = (5) 0=L 1.7 C B A BC A C AB ABC C B A L +++=),,( 1.8(1) ABD D A C F ++=1 (2) BC AB AC F ++=2 (3) C A B A B A F ++=3 (有多个答案) (4) C B A D C AB C A CD F +++=4 (5) C B A ABD C B A D B A F +++=5 (6) 16=F 1.9 (1) AD D C B B A F ++=1 (2) B A AC F +=2 (3) D A D B C B F ++=3 (4) B C F +=4 1.10 (1) C A B F +=1 (2) B C F +=2 (3) D A B C F ++=3 (4) C B A D B D C F ++=4 1.11 C A B A D F ++= 1.12 (1) D B A D C A D C B F ++=1(多种答案) (2) C B BCD D C D B F +++=2 (3) C B C A D C F ++=3 (4) A B F +=4 (5) BD D B F +=5 (6) C B D A D C A F ++=6(多种答案) (7) C A D B F +=7(多种答案) (8) BC D B F +=8(多种答案) (9) B D C F +=9 1.13 略 第2章 自测题 2.1 判断题 1. √ 2. √ 3. × 4. √ 5. √ 6. √ 7. × 8. √ 9. × 10√ 2.2 选择题 1.A B 2.C D 3.A 4.B 5.B 6.A B D 7.C 8.A C D 9.A C D 10.B 习题 2.1解:ABC Y =1

相关文档 最新文档