文档库 最新最全的文档下载
当前位置:文档库 › 打点计时器实验报告

打点计时器实验报告

打点计时器实验报告
打点计时器实验报告

实 验 报 告

班级 姓名

实验课题:用打点计时器测速度

实验目的:(1)认识打点计时器的结构及工作原理

(2)练习使用打点计时器,并根据纸带研究物体的运动 (3)根据纸带上的点迹求平均速度及粗略的测量物体的瞬时速度 (4)能认识、描绘v--t 图象,并根据v--t 图象判断物体的运动情况

实验器材:学生电源、导线、打点计时器、纸带、复写纸(斜面、小车、钩码)刻度尺 实验原理:

1、打点计时器的工作原理:打点计时器是利用电磁感应原理制造的,通过打点来计时的一种仪器。当通有交流电(4~6V )时,线圈变为一电磁铁(N 极,S 极不断变化),与永久磁铁相互作用,造成振片上下振动,带动振针在运动的纸带上打下一系列的点迹。由于交流电为50Hz ,故打下的每两个点之间的时间间隔为0.02秒,打下的点不仅记录了物体的位置,也记录了运动所用的时间。

2、测一段位移的平均速度:取纸带上某两点之间为研究对象,用刻度尺测出它们之间的距离Δx ,通过两点之间的间隔数n ,求出该段位移所用时间Δt=n ×0.02s,利用平均速度的公式求出该位移的平均速度。

3、粗略测量瞬时速度:测量某点(位置)瞬时速度时,在其两侧(包含该点)取一段小位移,求出其平均速度,可以粗略的代替该点的瞬时速度。

实验步骤:

1、 认识打点计时器的构造及工作原理:

2、 练习使用打点计时器:

(1) 将打点计时器固定,熟悉实验仪器。 (2) 用导线将学生电源与打点计时器连接

(学生电源处于关闭状态)。

(3) 装好复写纸片及纸带,启动电源,用手水平拉动纸带,纸带上就打出一行小

点,随后立即关闭电源。

(4) 取下纸带,从能够看清的某个点开始,往后数出若干个点。如果数出n 个点,

由间隔数计算出第一个点到第n 个点的运动时间。

(5) 用刻度尺测量出第一个点到第n 个点的距离,由平均速度的公式,求出该段

位移内的平均速度。

测量瞬时速度:

(1)、取纸带上某一点为计时零点,每隔0.1s (5个间隔)取一测量点,分别用数字0, 1,

2,3,4,5标出这些“测量点”。

(3)将上表中的瞬时速度在v —t 图中描点连线,画出v —t 图象。

注意事项:

1、实验时注意人身安全及仪器安全, 打点计时器应使用10V 以下交流电源。

2、实验时先接通电源,再拉动纸带,实验 完毕立即关闭电源。

3、 手拉纸带时,速度应快些,以防点迹太密集。

4、 利用小车时,应用手接好小车,防止小车落地损坏实验仪器。

打点计时器习题集

一、实验题

1:打点计时器是

[ ]

A.测量时间的仪器B.测量加速度的仪器C.测量长度的仪器D.测量速度的仪器

:2.在用打点计时器研究匀变速直线运动时,如测得各连续相等的时间间隔内位移之差不是一个恒量,其主要原因是

[ ]

A.长度测量不精确B.打点计时器打点的间隔不均匀

C.运动中受到变化的摩擦力作用D.木板未调成水平

3.图示的纸带是由斜面下滑的小车通过打点计时器拉出来的,

打点的时间间隔是0.02s,现按每10个点划分纸带,数据已标在

图上,则小车运动的加速度为_________.

4.做匀变速运动的小车的纸带上被打点计时器打下了一系列的点,纸带的一部分如图所示.按时间先后,依次是A、B、C三个计数点,每

两个计数点间另有4个打点计时器打的实际点.若A、B之

间距离为45mm,B、C之间距离为35mm,打点计时器所用

交流频率为50Hz,则小车运动时加速度大小为__________.

5.某次用打点计时器研究匀变速运动的实验中,用打点计时器打出小车带动的纸带如图,电源的频率为50Hz.在纸带上按时间顺序取0、1、2、3、4、5共六个计数点,每相邻的两点间均有四个

点未画出.用米尺量出1、2、

3、4、5点到0点的距离标在了

纸带下面,则小车的加速度大小为________,方向_________.

6.用打点计时器测量物体做匀变速直线运动的瞬时速度

(1)测量原理根据的公式V=________________________________.

(2)用打点计时器打出下落重锤带动的纸带如图,电源的频率为50Hz,从纸带上连续

取出A、B、C、D四个点,用米尺

量出A、B、C、D到计数点0的距

离标在纸带下面.则打下B点时重

锤的瞬时速度V B=________m/s,打下C点时重锤的瞬时速度V C=__________m/s.7.用打点计时器打出下落物体带动的纸带如图.电源的频率为50Hz,从纸带上连续取出A、B、C、D、E、F六个计数点,用

米尺测出A、B两点间距离S1=4.08cm,E、F

两点间距离S2=5.64cm,试写出用S1、S2和相

邻两点间时间间隔T计算重力加速度的公式g=_______,代入数据求出g=______.

8.电磁打点计时器是一种使用交流电源的计时仪器.当电源频率为50Hz时,振针每隔______秒打一次点.现在用打点计时器测定物体的加速度.当电源频率低于50Hz 时,如果仍按频率为50Hz的时间间隔打一次点计算,则测出的加速度数值________频率为50Hz时测出的加速度的数值.(后一空格填写大于、小于或等于)

9.如图是某次实验时用打点计时器打出的一条纸带.计时器所用电源的频率为

50Hz.图中A、B、C、D、E为按时间顺序所取的五个计

数点,A、B、C、D、E各点与O点的距离在图中标出(单

位是cm),则打B点时纸带的运动速度大小是

______________m/s,纸带运动过程中的加速度大小是

___________m/s2.

10.某次研究匀变速直线运动的实验中,用打点计时器打出小车带动的纸带如图,相邻计数点间的距离分别用S1、S2、S3、S4、S5、S6 表

示,计数点间的时间间隔用T表示,则如下计算小车加

速度大小的式子中正确的是

[ ]

A.(S6-S1)/(5T2) B.(S3+S4-S1-S2)/(2T2)

C.(S5-S2)/(3T2) D.(S4+S5+S6-S1-S2-S3)/(3T2)

11.在利用打点计时器测量匀变速直线运动的加速度的实验中,

(1)测量原理根据的公式是a=_____________________.

(2)实验中要用到的器材和测量仪器有

[ ]

A.小车B.一端带滑轮的木板C.打点计时器

D.低压交流电源E.小钩码F.秒表

G.刻度尺H.纸带I.细绳

(3)某学生在实验中操作有如下步骤,试按合理的顺序把步骤序号填在下面的线上:___________.

A.把穿过打点计时器的纸带固定在小车后面;

B.把打点计时器固定在木板没有滑轮的一端,并与电源相连接;

C.换上新纸带,重作两次实验;

D.把长木板平放在实验桌上,并使滑轮伸出桌边;

E.先让小车停在靠近打点计时器处,接通电源,放开小车;

F.把一条跨过滑轮的细绳一端拴在小车上,另一端吊一钩码;

G.断开电源,取下纸带;

H.求出三次测量加速度的平均值,作为测量的最后结果.

12.1)在测定匀变速直线运动的加速度实验中所需器材为_________

A.打点计时器B.天平C.低压交流电源

D.低压直流电源E.细绳和纸带F.钩码和小滑车

G.秒表H.一端附有滑轮的长木板

2)请在下列步骤中选出必要的,并按顺序填写:_____________

A.平衡摩擦力,即将长木板后端适当垫高使下滑力克服摩擦力

B.把穿过打点计时器的纸带固定在小车后

C.把打点计时器固定在木板无滑轮端并连好电路

D.用天平测量小车质量

E.换上新的纸带重作两次

F.把一端附有滑轮的长木板放在桌上,使滑轮伸出桌面

G.在小车开始运动后,按下秒表开始计时

H.先使小车放在打点计时器附近,接通电源再放小车,让小车运动

I.断开电源,取下纸带

J.使秒表停止,记录打点时间

K.把一条细绳跨过滑轮一端栓在小车上,另一端吊适当的砝码

如果小车在连续相等的时间T里的位移分别为S1、S2、S3、S4、S5、S6……则有a1=____;a2=_____;a3=_____;……,最后a=_________.

以下说法正确的就在后面括号里打“√”,否则打“×”:

(1)三条纸带都必须一一进行测量和计算.[ ]

(2)记数点的0点就是纸带上的起点.[ ]

(3)若两计数点之间还有n个小点,则T=(n+1)T0,T0=0.02s.[

用打点计时器测匀变速直线运动的加速度答案

1.A 2.C 3.a=75cm/s2 4.9.75 5.1.0m/s2

6.(1)V=

S+S

2T (2)V=2.19m/s V=2.39m/s

n

n n+1

B

c

7.1.50m/s2;与小车运动方向相反11.

8.0.02;大于

9.永久磁铁;调整振针长度或更换复写纸;或调整振针的固有频率使之与50Hz交变电流产生的策动力共振

10.0.655 1.50 15.A C

11.

(2)A、B、C、D、E、G、H、I (3)D、B、A、F、E、G、

C、H

12.A、C、E、F、H;F、C、K、B、H、I、E (S4-S1)/3T2;(S5-S2)/3T2 (S6-S3)/3T2

实时时钟设计实验报告

实验报告

源代码: #pragma sfr //使用特殊功能寄存器 #pragma EI //开中断 #pragma DI //关中断 #pragma access //使用绝对地址指令 #pragma interrupt INTTM000 Time //定义时间中断函数为Time #pragma interrupt INTKR OnKeyPress //定义按键中断为OnKeyPress #pragma interrupt INTP5 OnKeyOver //定义INT中断为OnKeyOver void Init_Led(); void InitKey_INTKR(); void Init_Lcd(); void Init_Inter(); void LightOneLed(unsigned char ucNum); void LightOff(); int Count_Day(int month); char i=0; //定义变量i,是切换时间的标志 int key=0; //定义key=0 int temp=1; //用于存放当前月的天数 int temp1=1; int second=0; //默认的秒second=0 int minute=0; //默认的分minute=0 int hour=12; //默认的时hour=12 int day=1; //默认的天day=1 int month=5; //默认的月month=5 int year=2014; //默认的年year=2014 int c_hour=1; //默认的闹钟时=1 int c_minute=1; //默认的闹钟分=1 int buffs[2]; //秒的数码显示缓存区 int buffm[2]; //分的数码显示缓存区 int buffh[2]; //时的数码显示缓存区 int buffday[2]; //天的数码显示缓存区 int buffmonth[2]; //月的数码显示缓存区 int buffyear[4]; //年的数码显示缓存区 int buffmd[4]; //月,天的数码显示缓存区 int buffhm[4]; //时,分的数码显示缓存区 int buffms[4]; //分,秒的数码显示缓存区 int buffch[2]; //闹钟时的数码显示缓存区 int buffcm[2]; //闹钟分的数码显示缓存区 unsigned char Que = 0; //INT中断中间变量 int LCD_num[10]={0X070d,0x0600,0x030e,0x070a,0x0603,0x050b,0x050f,0x0700,0x070f,0x070b};// 数字0~~9的显示码 unsigned char Scond; //…………………………延时函数1……………………// void Delay(int k){ i nt i,j; f or(i=0;i

实验用打点计时器

第四节实验:用打点计时器测速度 【目标要求】 1.知识与技能 了解和练习使用电火花计时器和电磁打点计时器。测定平均速度,画出v-t图象 了解用图象研究物理问题的方法 知道什么是速度时间图象,知道速度时间图象的物理意义。以及如何用图象来表示速度和时间的关系 2.过程与方法 认识数学中的函数图象在物理学中的作用,实验时注意培养学生的操作习惯,培养学生具有一定的信息收集和处理能力,分析、解决问题能力 3.情感、态度与价值观 乐于探究与日常生活有关的物理学问题,初步体验探索自然规律的艰辛与喜悦,有主动与他人合作的精神 【巩固教材—稳扎稳打】 1.根据打点计时器打出的纸带,我们可以从纸带上直接得到的物理量是( ) A.时间间隔B.平均速度C.位移D.瞬时速度 2.某同学将纸带穿过限位孔,接通打点计时器的电源,拉动纸带,但在纸带上打不上点,其可能原因是( ) A.复写纸放反B.使用了直流电源C.振针过短D.纸带运动过快3.小车拖动的纸带经过打点计时器后,在纸带上留下的点中有6个连续清晰的点,测出这6个点间的距离为18 cm,则( ) A.小车运动的平均速度为0.03m/s B.小车运动的平均速度为1.5m/s C.小车运动的平均速度为1.8m/s D.小车运动的平均速度为180m/s 4.某同学用手水平地拉动纸带通过打点计时器后,纸带上打下了一列点,他要根据这些点计算纸带运动的平均速度,下列操作正确的是( ) A.他首先查清共有多少个点

B.首先从第一个能看清的点数起,查清共有多少个点 C.分别测出每两个相邻清晰点间的距离,然后相加,把相加的结果作为所有能看清点间的长度,用来计算平均速度 D.分别测出每两个相邻清晰点间的距离,用来计算各段的平均速度 【重难突破—重拳出击】 1.打点计时器打点的周期,决定于( ) A.交流电压的高低 B. 交流电的频率; C.永久磁铁的磁性强弱; D. 振针与复写纸间的距离. 2. 在“练习使用打点计时器”的实验中,下列操作正确的是( ) A.打点前,小车应靠近打点计时器,要先接通电源,待计时器开始打点再释放小车;B.要舍去纸带上密集点,然后选取计数点。 C.打点频率为50Hz,每四个点取一个计数点,则计数点之间的时间间隔为0.01S。D.实验中应使小车速度尽量小些。 3. 一学生在练习使用电磁打点计时器时,纸带上打出的不是圆点,而是一些短线,这可能 是因为 ) A.打点计时器错接在直流电源上; B.电源电压不稳定; C.打点计时器使用的电压频率不稳定; D.振针到复写纸片的距离太小 4.某物体的v-t图象如图1-3所示,则该物体( ) A.做往复运动B.做匀速直线运动 C.朝某一方向做直线运动D.以上说法均不正确 5.在练习使用打点计时器的实验中,某同学操作中有以下实验步骤,步骤顺序排列正确的是( ) ①把计时器上的电源插头插在交流220 V电源插座上,按下脉冲输出开关,用手水平的 拉动两条纸带,纸带上就打下一列小点 ②把电火花计时器固定在桌子上 ③将纸带穿过打点计时器的限位孔,检查墨粉纸盘是否已经正确的套在纸盘轴上,检查 两条白纸带是否已经正确的穿好,墨粉纸盘是否已经夹在两条白纸带之间

实时时钟实验报告

嵌入式系统开发实验报告 实验四:实时时钟实验 班级:应电112 姓名:张志可 学号: 110415151 指导教师:李静 实验日期: 2013年9月25日

实验四:实时时钟实验 一、实验目的 1. 了解实时时钟的硬件控制原理及设计方法。 2. 掌握 S3C2410X 处理器的 RTC 模块程序设计方法。 二、实验设备 硬件:Embest ARM 教学实验系统,ULINK USB-JTAG 仿真器套件,PC 机。 软件:MDK 集成开发环境,Windows 98/2000/NT/XP。 三、实验原理 1. 实时时钟(RTC) 实时时钟(RTC)器件是一种能提供日历/时钟、数据存储等功能的专用集成电路,常用作各种计算机系统的时钟信号源和参数设置存储电路。RTC 具有计时准确、耗电低和体积小等特点,特别是在各种嵌入式系统中用于记录事件发生的时间和相关信息,如通信工程、电力自动化、工业控制等自动化程度高的领域的无人值守环境。随着集成电路技术的不断发展,RTC 器件的新品也不断推出,这些新品不仅具有准确的 RTC,还有大容量的存储器、温度传感器和 A/D 数据采集通道等,已成为集 RTC、数据采集和存储于一体的综合功能器件,特别适用于以微控制器为核心的嵌入式系统。 RTC 器件与微控制器之间的接口大都采用连线简单的串行接口,诸如 I2C、SPI、MICROWIRE 和CAN 等串行总线接口。这些串口由2~3 根线连接,分为同步和异步。 2. S3C2410X 实时时钟(RTC)单元 S3C2410X 实时时钟(RTC)单元是处理器集成的片内外设。由开发板上的后备电池供电,可以在系统电源关闭的情况下运行。RTC 发送8 位BCD 码数据到CPU。传送的数据包括秒、分、小时、星期、日期、月份和年份。RTC 单元时钟源由外部32.768KHz 晶振提供,可以实现闹钟(报警)功能。 四、实验内容 学习和掌握 Embest ARM 教学实验平台中 RTC 模块的使用,编写应用程序,修改时钟日期及时间的设置,以及使用 EMBEST ARM 教学系统的串口,在超级终端显示当前系统时间。

【报告】打点计时器实验报告

【关键字】报告 实验报告 班级姓名 实验课题:用打点计时器测速度 实验目的:(1)认识打点计时器的结构及工作原理 (2)练习使用打点计时器,并根据纸带研究物体的运动 (3)根据纸带上的点迹求平均速度及粗略的测量物体的瞬时速度 (4)能认识、描绘v--t图象,并根据v--t图象判断物体的运动情况 实验器材:学生电源、导线、打点计时器、纸带、复写纸(斜面、小车、钩码)刻度尺 实验原理: 1、打点计时器的工作原理:打点计时器是利用电磁感应原理制造的,通过打点来计时的 一种仪器。当通有交流电(4~6V)时,线圈变为一电磁铁(N极,S极不断变化),与永久磁铁相互作用,造成振片上下振动,带动振针在运动的纸带上打下一系列的点迹。 由于交流电为50Hz,故打下的每两个点之间的时间间隔为0.02秒,打下的点不仅记录了物体的位置,也记录了运动所用的时间。 2、测一段位移的平均速度:取纸带上某两点之间为研究对象,用刻度尺测出它们之间的 距离Δx,通过两点之间的间隔数n,求出该段位移所用时间Δt=n×0.02s,利用平均速度的公式求出该位移的平均速度。 3、粗略测量瞬时速度:测量某点(位置)瞬时速度时,在其两侧(包含该点)取一段小 位移,求出其平均速度,可以粗略的代替该点的瞬时速度。 实验步骤: 1、认识打点计时器的构造及工作原理: 2、练习使用打点计时器: (1)将打点计时器固定,熟悉实验仪器。 (2)用导线将学生电源与打点计时器连接 (学生电源处于关闭状态)。 (3)装好复写纸片及纸带,启动电源,用手水平拉动纸带,纸带上就打出一行小点,随后立即关闭电源。 (4)取下纸带,从能够看清的某个点开始,往后数出若干个点。如果数出n个点,由间隔数计算出第一个点到第n个点的运动时间。 (5)用刻度尺测量出第一个点到第n个点的距离,由平均速度的公式,求出该段位移内的平均速度。

数字电子时钟实验报告材料

华大计科学院 数字逻辑课程设计说明书 题目:多功能数字钟 专业:计算机科学与技术 班级:网络工程1班 姓名:刘群 学号: 1125111023 完成日期:2013-9

一、设计题目与要求 设计题目:多功能数字钟 设计要求: 1.准确计时,以数字形式显示时、分、秒的时间。 2.小时的计时可以为“12翻1”或“23翻0”的形式。 3.可以进行时、分、秒时间的校正。 二、设计原理及其框图 1.数字钟的构成 数字钟实际上是一个对标准频率 1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路。图 1 所示为数字钟的一般构成框图。 图1 数字电子时钟方案框图

⑴多谐振荡器电路 多谐振荡器电路给数字钟提供一个频率1Hz 的信号,可保证数字钟的走时准确及稳定。 ⑵时间计数器电路 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成。其中秒个位和秒十位计数器、分个位和分十位计数器为60 进制计数器。而根据设计要求,时个位和时十位计数器为24 进制计数器。 ⑶译码驱动电路 译码驱动电路将计数器输出的8421BCD 码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。 ⑷数码管 数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管。本设计提供的为LED数码管。 2.数字钟的工作原理 ⑴多谐振荡器电路 555 定时器与电阻R1、R2,电容C1、C2 构成一个多谐振荡器,利用电容的充放电来调节输出V0,产生矩形脉冲波作为时钟信号,因为是数字钟,所以应选择的电阻电容值使频率为1HZ。 ⑵时间计数单元 六片74LS90 芯片构成计数电路,按时间进制从右到左构成从低位向高位的进位电路,并通过译码显示。在六位LED 七段显示起上显示

嵌入式ARM实时时钟实验报告

嵌入式ARM实时时钟实验报告 实验二实时时钟实验1 实验目的(1) 了解实时时钟在嵌入式系统中的作用;(2) 掌握实时时钟的使用。 2 实验设备(1) S3C2410嵌入式开发板,JTAG仿真器。 (2) 软件:PC机操作系统Win98、Win2000或Windows XP,集成开发环境,仿真器驱动程序,超级终端通讯程序。 3 实验内容(1) 编程实现实时时钟功能,每秒显示实时时钟;(2) 编程实现实时时钟告警功能。 4 实验步骤(1) 参照模板工程,新建一个工程RTC,添加相应的文件,并修改RTC 的工程设置;(2) 创建并加入到工程RTC中;(3) 编写程序每秒钟读取时钟滴答;关键代码如下:old_index=led_index; Uart_Printf; While{ /*每隔1秒更新一次数据*/ if { rtc_get_data;

old_index=led_index; /*实时时钟数据为BCD码格式,以16进制显示*/ Uart_Printf; } }; (4) 编写程序实现时间告警功能;关键代码如下; a.首先设置告警时间,如下例程设置每分钟的第5秒告警m_=0x05; rtc_alalm_set; 模式0x41表示使能RTC告警,以及使能秒时钟告警b.注册中断例程,打开中断install_isr_handlerrtc_int_isr); rINTMSK=; c.中断服务例程中清除中断事件rI_ISPC=BIT_RTC; if *0x20000000=0x0f; else *0x20000000=0xff; alarm_count++; (5) 编译RTC;(6) 运行超级终端,选择正确的串口号,并将串口设置位:波特率、奇偶校验、数据位数和停止位数,无流控,打开串口;(7) 装载程序并运行,如果运行正确,在超级终端中将会显示如图所示内容。图运行结果 5 实验总结通过这次实验我进一步掌握了RTCCON控制

用打点计时器测量加速度速度-实验报告

测定匀变速直线运动的加速度-实验报告 班级________ 姓名________时间_________ 一、实验目的 1、掌握判断物体是否做匀变速直线运动的方法 2、测定匀变速直线运动的加速度和计算打下某点时的瞬时速度。 二、实验原理 1、由纸带判断物体做匀变速直线运动的方法:若x1、x 2、x 3、x4……为相邻计数点间的距离,若△x=x2-x1=x3 -x2=……=c(常数),即连续相等的时间间隔内的位移差是恒量,则与纸带相连的物体的运动是匀变速直线运动。 % 2、利用某段时间里的平均速度等于该段时间中点的瞬时速度来计算打下某点时的瞬时速度. 3、由纸带求物体加速度的方法: (1)根据Xm-Xn=(m-n)aT2(T为相邻两计数点间的时间间隔),选取不同的m和n,求出几个a,再计算出其平均值即为物体运动的加速度。 (2)用V-t图像求物体的加速度:先根据时间中点的瞬时速度等于该段时间的平均速度求几个点的瞬时速度,然后做出V-t图像,图线的斜率就是物体运动的加速度。 ***逐差法:物体做匀变速直线运动,加速度是a,在各个连续相等的时间T里的位移分别是X1、X2、X3……则有:△X=X2-X1=X3-X2=X4-X3=……=aT2. 由上式还可得到 : X4-X1=(X4-X3)+(X3-X2)+(X2-X1)=3aT2同理有X5-X2=X6-X3=……=3aT2 可见,测出各段位移X1、X2……即可求出a1、a2、a3……,再算出a1、a2、a3……的平均值,就是我们所要测定的匀变速直线运动的加速度。 三、实验器材 > 四、实验步骤

— 五、分析与处理实验数据 1、.纸带采集 2、实验数据记录 , 3、计算加速度(用计算和V-T图像两种方法)和某点的瞬时速度— 六、实验误差分析

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

嵌入式软件开发基础实验报告 实时时钟

上海电力学院 嵌入式软件开发基础实验报告 题目:【ARM】实时时钟实验 专业:电子科学与技术 年级: 姓名: 学号:

一、实验目的 1、了解实时时钟的硬件控制原理及设计方法。 2、掌握S3C44B0X 处理器的RTC 模块程序设计方法。 二、实验设备 1、硬件:Embest EduKit-III 实验平台,Embest ARM 标准/增强型仿真器套件,PC 机。 2、软件:Embest IDE Pro ARM 集成开发环境,Windows 98/2000/NT/XP。 三、实验内容 学习和掌握 Embest EduKit-III 实验平台中RTC 模块的使用,进行以下操作: 1、编写应用程序,修改时钟日期及时间的设置。 2、使用EMBEST ARM 教学系统的串口,在超级终端显示当前系统时间。 四、实验原理 1. 实时时钟(RTC) 实时时钟(RTC)器件是一种能提供日历/时钟、数据存储等功能的专用集成电路,常用作各种计算机系统的时钟信号源和参数设置存储电路。RTC 具有计时准确、耗电低和体积小等特点,特别是在各种嵌入式系统中用于记录事件发生的时间和相关信息,如通信工程、电力自动化、工业控制等自动化程度高的领域的无人值守环境。随着集成电路技术的不断发展,RTC 器件的新品也不断推出,这些新品不仅具有准确的RTC,还有大容量的存储器、温度传感器和A/D 数据采集通道等,已成为集RTC、数据采集和存储于一体的综合功能器件,特别适用于以微控制器为核心的嵌入式系统。 RTC 器件与微控制器之间的接口大都采用连线简单的串行接口,诸如I2C、SPI、MICROWIRE和CAN 等串行总线接口。这些串口由2~3 根线连接,分为同步和异步。 2. S3C44B0X 实时时钟(RTC)单元 S3C44B0X 实时时钟(RTC)单元是处理器集成的片内外设。由开发板上的后备电池供电,可以在系统电源关闭的情况下运行。RTC 发送8 位BCD 码数据到CPU。传送的数据包括秒、分、小时、星期、日期、月份和年份。RTC 单元时钟源由外部32.768KHz 晶振提供,可以实现闹钟(报警)功能。 S3C44B0X 实时时钟(RTC)单元特性: BCD 数据:秒、分、小时、星期、日期、月份和年份 1、闹钟(报警)功能:产生定时中断或激活系统 2、自动计算闰年 3、无2000 年问题 4、独立的电源输入 5、支持毫秒级时间片中断,为RTOS 提供时间基准 读/写寄存器 访问 RTC 模块的寄存器,首先要设RTCCON 的bit0 为1。CPU 通过读取RTC 模块中寄存器BCDSEC、BCDMIN、BCDHOUR、BCDDAY、BCDDATE、BCDMON 和 BCDYEAR 的值,得到当前的相应时间值。然而,由于多个寄存器依次读出,所以有可能产生错误。比如:用户依次读取年(1989)、月(12)、日(31)、时(23)、分(59)、秒(59)。当秒数为1 到59 时,没有任何问题,但是,当秒数为0 时,当前时间和日期就变成了1990 年1 月1 日0 时0 分。这种情况下(秒数为0),用户应该重新读取年份到分钟的值(参考程序设计)。

1.4 实验:用打点计时器测速度

1.4实验:用打点计时器测速度 班级:组别:姓名: 【学习目标】 1.了解打点计时器的计时原理,理解纸带中包含的物体运动的信息(时间、位移). 2.会安装并使用打点计时器,理解根据纸带测量速度的原理并测量瞬时速度.3.明确速度一时间图象的物理意义,描点法画图象的方法,并画出该实验中的速度一时间图象, 【学习重点】 1.学会使用打点计时器. 2.能根据纸带计算物体运动的瞬时速度. 3.会用描点法描绘物体的速度一时间图象,并从中获取物理信息. 【学习难点】处理纸带的方法,用描点法画图象的能力. 【自主学习】 一、电磁打点计时器 观察打点计时器并阅读教材,了解电磁打点计时器的结构、工作原理及使用方法. 1、电磁打点计时器的电源应是________电源,通常的工作电压为________伏,当电源的频率为50Hz时,每隔________秒打一次点, 【思考与交流】 电磁打点计时器使用低压交流电源工作,大家想一想能不能使用直流电源,为什么? 二、电火花计时器 观察打点计时器并阅读说明书了解电火花打点计时器的结构、各部分的名称、工作原理及使用方法. 1、电火花计时器通常的工作电压为________伏,当电源的频率为50Hz时,振针每隔 s打一次点

三.练习使用打点计时器 学生自主阅读教材中的实验步骤提示.学生动手练习使用打点计时器 四、用打点计时器测量瞬时速度 实例:如图,测量出包括E点在内的D,F两点间的位移△x和时间△t,算出纸带在这两点间的平均速度v=△x/△t,用这个平均速度代表纸带经过E 点时的瞬时速度. △x/△t可以大致表示正点的瞬时速度,D、F两点离E点越近,算出的平均速度越接近正点的瞬时速度.然而D,F两点距离过小则测量误差增大,应该根据实际情况选取这两个点. 学生根据粗略表示某点瞬时速度的方法,选择合适的计数点,测量包含这 根据v=△x/△t算出刚填完的表1中各点附近的平均速度,把它当作计时器打下这些点时的瞬时速度,填下表.从该表中能粗略看出手拉纸带运动的速 五、用图象表示速度

打点计时器实验报告.docx

实验报告 班级姓名 实验课题: 实验目的: 用打点计时器测速度 (1)认识打点计时器的结构及工作原理 (2)练习使用打点计时器,并根据纸带研究物体的运动 (3)根据纸带上的点迹求平均速度及粗略的测量物体的瞬时速度 (4)能认识、描绘v--t图象,并根据v--t图象判断物体的运动情况 实验器材:学生电源、导线、打点计时器、纸带、复写纸(斜面、小车、钩码)刻度尺 实验原理: 1、打点计时器的工作原理:打点计时器是利用电磁感应原理制造的,通过打点来计时的 一种仪器。当通有交流电(4~6V)时,线圈变为一电磁铁(N极,S极不断变化),与永久磁铁相互作用,造成振片上下振动,带动振针在运动的纸带上打下一系列的点迹。 由于交流电为50Hz ,故打下的每两个点之间的时间间隔为0.02秒,打下的点不仅记录了物体的位置,也记录了运动所用的时间。 2、测一段位移的平均速度:取纸带上某两点之间为研究对象,用刻度尺测出它们之间的 距离ΔX ,通过两点之间的间隔数n求出该段位移所用时间Δt=n × 0.02s,利用平均速 度的公式求出该位移的平均速度。 3、粗略测量瞬时速度:测量某点(位置)瞬时速度时,在其两侧(包含该点)取一段小 位移,求出其平均速度,可以粗略的代替该点的瞬时速度。 实验步骤: 1、认识打点计时器的构造及工作原理: 2、练习使用打点计时器: (1)将打点计时器固定,熟悉实验仪器。 (2)用导线将学生电源与打点计时器连接 (学生电源处于关闭状态)。 (3)装好复写纸片及纸带,启动电源,用手水平拉动纸带,纸带上就打出一行小点,随后立即关闭电源。

(4) 取下纸 带,从能够看清的某个点开始,往后数出若干个点。如果数出n个点, 由间隔数计算出第一个点到第n个点的运动时间。 (5) 用刻度尺测量出第一个点到第n个点的距离,由平均速度的公式,求出该段位移内 的平均速度。 123间隔数 运动时间Δ t/S 运动位移△ x/m 平均速度m/s (1) 、取纸带上某一点为计时零点,每隔0.1s( 5个间隔)取一测量点,分别用数字0,1, 2,3, 4,5标出这些“测量点” 位置012345 对应时刻00.1s 末0.2s 末0.3s 末0.4s 末0.5s 末取小位移△ x/m 小位移用时间△ t/s 点(时刻)速度v∕m*s-1 ⑶将上表中的瞬时速度在V —t图中描点连线, 画出 V—t图 象。 注意事项: 1、实验时注意人身安全及仪器安全, 打点计时器应使用10V以下交流电源。 2、实验时先接通电源,再拉动纸带,实验 完毕立即关闭电源。 3、手拉纸带时,速度应快些,以防点迹太密集。

数字时钟设计实验报告

数字时钟设计实验报告

电子课程设计题目:数字时钟

数字时钟设计实验报告一、设计要求: 设计一个24小时制的数字时钟。 要求:计时、显示精度到秒;有校时功能。采用中小规模集成电路设计。 发挥:增加闹钟功能。 二、设计方案: 由秒时钟信号发生器、计时电路和校时电路构成电路。 秒时钟信号发生器可由振荡器和分频器构成。 计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 三、电路框图:

图一 数字时钟电路框图 四、电路原理图: (一)秒脉冲信号发生器 秒脉冲信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了数字钟的质量。由振荡器与分频器组合产生秒脉冲信号。 ? 振荡器: 通常用555定时器与RC 构成的多谐振荡器,经过调整输出1000Hz 脉冲。 ? 分频器: 分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供功能 扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz 标准秒脉冲。其电路图如下: 图二 秒脉冲信号发生器 译译译时计 分计秒计 校 时 电 路 秒信号发生器

(二)秒、分、时计时器电路设计 秒、分计数器为60进制计数器,小时计数器为24进制计数器。 ?60进制——秒计数器 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给分的个位。其电路图如下: 图三60进制--秒计数电路 ?60进制——分计数电路 分的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:来自秒计数电路的进位脉冲使分的个位加1,利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给时的个位。其电路图如下:

打点计时器实验

1、研究物体匀变速直线运动 实验仪器:打点计时器、交流电源(电火花打点计时器—220V,电磁打点计时器—4~6V)、纸带、小车、轨道、细绳、钩码、刻度尺、导线 实验原理:打点计时器上同时记录了时间和位置两个信息,通过s,t可以判断物体是否匀变速,若是可以求得其加速度; 数据处理方法。(纸带处理) 实验步骤:(1)把附有滑轮的轨道放在实验桌上,并使滑轮伸出桌面,把打点计时器固定在轨道没有滑轮一端,连接好电路;再把细绳拴在小车上,细绳跨过滑轮,下边挂上合适的钩码;把纸带穿过打点计时器,并把它的一 端固定在小车的后面(若是电火花打点计时器,用两个纸带分别从上下两边穿过墨粉纸盘)。 (2)把小车停在靠近打点计时器处,接通电源后,放开小车,让小车拖着纸带运动,打点计时器就在纸带上 打下一系列的点,换上新纸带,重复三次。 (3)从三条纸袋中选择一条比较理想的纸带,舍掉开头比较密集的点,在后边便于测量的地方找一个开始点, 并把每打五个点的时间作为时间的单位,即T=0.02×5=0.1s,在选好的开始点下面记作0,第六点作为计数 点1,依次标出计数点2、3、4、5、6。两相邻计数点间的距离用刻度尺测出分别记作s1、s2 (6) (4)求出a的平均值,它就是小车做匀变速直线运动的加速度。 注意事项: (1)小车的加速度宜适当大些,可以减小长度的测量误差。 (2)细绳尽可能与木板平行,以确保细绳对小车拉力不变; (3)开始释放小车时,小车应尽量靠近打点计时器 (4)先通电后放开小车 (5)要区别计时器打出的点与人为选取的计数点(一般把计时器打出的5个点作为一个计数点),选取的计数点不少于6个; (6)若为电磁打点计时器(f打点=f电源,电源频率越大,针与纸带摩擦越大,a越小),若出现连续直线,则抬高针;若为电火花则无针与纸带的摩擦。纸带运动时不要让纸带与打点计时器的限位孔摩擦。 (7)不要分段测量各段位移,尽可能的一次测量完毕(可先统一量出到记数起点之间的距离)。 纸带处理: (1)“逐差法”求加速度(若测得6段相邻点间位移,设两计数点时间间隔为T) a= [(s6+s5+s4)-(s3+s2+s1)]/9T2。 (2)“平均速度法”求瞬时速度(需先判断物体做匀变速运动,才可用时间中点公式) v n= (s n+s n+1)/2T。 纸带的应用:验证牛二、动能定理、机械能守恒

用打点计时器测速度实验报告

实验报告 一、 实验名称: 用打点计时器测速度 二、实验目的: 1. 理解打点计时器的原理,会正确使用打点计时器。 2. 掌握纸带上运动信息的处理方法。 3. 掌握用v-t 图象处理实验数据的方法。 三、实验原理:(略)见课堂讲解和实验报告册P2 四、实验器材:电火花计时器、纸带、刻度尺、电源等。 五、实验方法与过程: 1. 练习使用打点计时器: (1) 把打点计时器固定在桌子上,对照打点计时器的使 用说明书了解它的结构及作用 (2) 将打点计时器与交流电源相连接,并按照说明书将 纸带装好。 (3) 接通打点计时器的电源,用手水平地拉动纸带,打 点计时器就会在纸带上打出一行小点。随后立即关闭电源 (4) 取下纸带,给纸带编号,如:“纸带1” (5) 在“纸带1”上从某个点开始,向后数出若干个点,如果数出的个数为n ,由每两个点间的时间间隔可以计算出从打下第一个点到打下第n 个点过程中纸带运动的时间,用刻度尺测量出第一个点到第n 个点的距离。将上述数据填到“记录数据的表格中” 2. 用打点计时器测速度: (1) 重新给打点计时器装上纸带,用打点计时器再打出 两条纸带,给纸带编上号,如“纸带2”、“纸带3”。 (2) 在“纸带2”上,每隔0.1s 取一个点,在纸带上 分别标出A 、B 、C 、D 、E 、F 等点,测量出相邻点间的位移 ,记录在表二中,同时记录对应的时间 ,将上述数据记录到相应的表格中。 (3) 在“纸带3”上,选取点迹清晰、间距适当的几个 连续点,并标上0、1、2、3、4、5、6。测量出与各点相邻两点间的距离, 并计算出平均速度,把它当做打点计时器打下这个点时的瞬时速度,填入相应的表格,点0做为计时的开始,即t=0. 六、实验记录及处理 1.纸带采集 图为 一条已经编好计数点的纸带,其比例尺为1:2(图上距离/实际距离) 2.实验数据记录 表三:测纸带瞬时速度的数据记录表 坐标纸:

电子时钟实验报告_电子时钟

电子时钟实验报告 一、实验目的 学习8051定时器时间计时处理、按键扫描及LED数码管显示的设计方法。二、设计任务及要求 利用实验平台上4个LED数码管,设计带有闹铃功能的数字时钟,要求:1.在4位数码管上显示当前时间,显示格式为“时时分分”; 2.由LED闪动做秒显示; 3.利用按键可对时间及闹玲进行设置,并可显示闹玲时间。当闹玲时间到蜂鸣器发出音乐,按停止键使可使闹玲声停止。 三、工作原理及设计思路 利用单片机定时器完成计时功能,定时器0计时中断程序每隔5ms中断一次并当作一个计数,每中断一次计数加1,当计数200次时,则表示1s到了,秒变量加1,同理再判断是否1min钟到了,再判断是否1h到了。为了将时间在LED数码管上显示,可采用静态显示法和动态显示法,由于静态显示法需要数据锁存器等较多硬件,可采用动态显示法实现LED显示。 闹铃声由交流蜂鸣器产生,电路如右图,当P1.7输出不同频率的方波,蜂鸣器便会发出不同的声音。 四、电路设计及描述 (1)硬件连接部分: 在ZKS-03单片机综合实验仪上有四位共阳LED数码管,其标号分别为LED1~LED4。为了节省MCU的I/O口,采用串行接口方式,它仅占用系统2个I/O 口,即P1.0口和P1.1口,一个用作数据线SDA,另一个用作时钟信号线CLK,

它们都通过跳线选择器JP1相连。 由于采用共阳LED数码管,它的阴极分别通过限流电阻R20~R27连接到控制KD_0~KD_Q7。这样控制8个发光二极管,就需要8个I/O口。但由于单片机的I/O口资源是有限的,因此常采用实验电路所示的串并转换电路来扩充系统资源。串并转换电路其实质是一个串入并处的移位寄存器,串行数据再同步移位脉冲CLK的作用下经串行数据线SDA把数据移位到KD_0~KD_Q7端,这样仅需2根线就可以分别控制8个发光二极管的亮灭。而P0口只能作地址/数据总线,P2口只能作地址总线高8位,P3.0、P3.1作为串行输入、输出接口,实验仪上单片机可用作I/O的口仅有:P1.0--P1.7,8位;P3.2、P3.3、P3.4、P3.5,4位。其中:P1.0用作数据线SDA,P1.1用作时钟信号CLK,所以P1.0和P1.1应该接对应跳线的A位,即跳线的中间和下面相连。P1.3、P1.4、P1.5和P1.6是四个数码管的位扫描线,其中P1.6对应数码管W1,显示小时高位;P1.5对应数码管W2,显示小时低位;P1.4对应数码管W3,显示分钟高位;P1.3对应数码管W4,显示分钟低位。P1.7连接蜂鸣器电路,输出不同频率的方波,使其发出不同的声音。P1.2用来控制秒的闪烁显示。故,P1.2也应该接对应跳线的A位。 其显示电路如下图所示: P3.2、P3.3、P3.4、P3.5分别连接单刀双掷开关S1、S2、S3、S4,从而输入高低电平。将S2S1定义为功能模式选择开关;S3定义为分钟数调整开关;S4定义为小时数调整开关。 当S2S1=00时,显示当前时间,不进行任何操作。 当S2S1=01时,显示当前时间,同时可进行时钟调整,若S3=1,分钟数持续加1,若S4=1,小时数持续加1。

FPGA可调数字时钟实验报告

一、实验要求 1、用vhdl编程,实现10进制计数器 2、用vhdl编程,实现60进制计数器 3、用vhdl编程,实现数字时钟,时、分、秒、毫秒分别显示在数码管上。 4、实现可调数字时钟的程序设计,用按键实现时、分、秒、毫秒的调整。 二、实验原理 用VHDL,行为级描述语言实现实验要求。思路如下: 1、分频部分:由50MHZ分频实现1ms的技术,需要对50MHZ采取500000分 频。 2、计数部分:采用低级影响高级的想法,类似进位加1的思路。对8个寄存器进 行计数,同步数码管输出。 3、数码管输出部分:用一个拨码开关控制显示,当sw0=0时,四位数码管显示 秒、毫秒的计数。当sw0=1时,四位数码管显示时、分得计数。 4、调整部分:分别用四个按键控制时、分、秒、毫秒的数值。先由一个开关控制 计数暂停,然后,当按键按下一次,对应的数码管相对之前的数值加1,,通过按键实现时间控制,最后开关控制恢复计数,完成时间调整。 5、整个实现过程由一个文件实现。 三、实验过程 各个引脚说明: Clk:50MHZ SW:数码管切换,SW=’0’时,数码管显示为秒,毫秒。SW=’1’时,数码管显示为时,分。 SW1:暂停与启动。SW1=’0’时,时钟启动,SW=’1’时,时钟暂停。 SW2:时钟调整接通按钮,当SW2=’0’时,不进行调整,当SW=’1’时,通过按键调整时间。 KEY0:毫秒调整,按一次实现+1功能 KEY1:秒调整,按一次实现+1功能

KEY2:分调整,按一次实现+1功能 KEY3:时调整,按一次实现+1功能 Q0;第一个数码管 Q1; 第二个数码管 Q2: 第三个数码管 Q3: 第四个数码管 1、源代码如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity paobiao is port(clk,sw,key0,key1,key2,key3,sw1,sw2:in std_logic; q0:out std_logic_vector(6 downto 0); q1:out std_logic_vector(6 downto 0); q2:out std_logic_vector(6 downto 0); q3:out std_logic_vector(6 downto 0)); end paobiao; architecture behave of paobiao is signal cntt1 :integer range 0 to 10; signal cntt2 :integer range 0 to 10; signal cntt3 :integer range 0 to 10; signal cntt4 :integer range 0 to 6; signal cntt5 :integer range 0 to 10; signal cntt6 :integer range 0 to 10; signal cntt7 :integer range 0 to 10; signal cntt8 :integer range 0 to 6;

打点计时器实验报告

实 验 报 告 班级 姓名 实验课题:用打点计时器测速度 实验目的:(1)认识打点计时器的结构及工作原理 (2)练习使用打点计时器,并根据纸带研究物体的运动 (3)根据纸带上的点迹求平均速度及粗略的测量物体的瞬时速度 (4)能认识、描绘v--t 图象,并根据v--t 图象判断物体的运动情况 实验器材:学生电源、导线、打点计时器、纸带、复写纸(斜面、小车、钩码)刻度尺 实验原理: 1、打点计时器的工作原理:打点计时器是利用电磁感应原理制造的,通过打点来计时的一种仪器。当通有交流电(4~6V )时,线圈变为一电磁铁(N 极,S 极不断变化),与永久磁铁相互作用,造成振片上下振动,带动振针在运动的纸带上打下一系列的点迹。由于交流电为50Hz ,故打下的每两个点之间的时间间隔为0.02秒,打下的点不仅记录了物体的位置,也记录了运动所用的时间。 2、测一段位移的平均速度:取纸带上某两点之间为研究对象,用刻度尺测出它们之间的距离Δx ,通过两点之间的间隔数n ,求出该段位移所用时间Δt=n ×0.02s,利用平均速度的公式求出该位移的平均速度。 3、粗略测量瞬时速度:测量某点(位置)瞬时速度时,在其两侧(包含该点)取一段小位移,求出其平均速度,可以粗略的代替该点的瞬时速度。 实验步骤: 1、 认识打点计时器的构造及工作原理: 2、 练习使用打点计时器: (1) 将打点计时器固定,熟悉实验仪器。 (2) 用导线将学生电源与打点计时器连接 (学生电源处于关闭状态)。 (3) 装好复写纸片及纸带,启动电源,用手水平拉动纸带,纸带上就打出一行小 点,随后立即关闭电源。

(4) 取下纸带,从能够看清的某个点开始,往后数出若干个点。如果数出n 个点, 由间隔数计算出第一个点到第n 个点的运动时间。 (5) 用刻度尺测量出第一个点到第n 个点的距离,由平均速度的公式,求出该段 位移内的平均速度。 3、 测量瞬时速度: (1)、取纸带上某一点为计时零点,每隔0.1s (5个间隔)取一测量点,分别用数字0, 1, 2,3,4,5标出这些“测量点”。 (3)将上表中的瞬时速度在v —t 图中描点连线,画出v —t 图象。 注意事项: 1、实验时注意人身安全及仪器安全, 打点计时器应使用10V 以下交流电源。 2、实验时先接通电源,再拉动纸带,实验 完毕立即关闭电源。 4、 手拉纸带时,速度应快些,以防点迹太密集。 5、 利用小车时,应用手接好小车,防止小车落地损坏实验仪器。

电子时钟实验报告_电子时钟

电子时钟实验报告

一、实验目的 学习8051定时器时间计时处理、按键扫描及LED数码管显示的设计方法。 二、设计任务及要求 利用实验平台上4个LED数码管,设计带有闹铃功能的数字时钟,要求: 1.在4位数码管上显示当前时间,显示格式为“时时分分”; 2.由LED闪动做秒显示; 3.利用按键可对时间及闹玲进行设置,并可显示闹玲时间。当闹玲时间到蜂鸣器发出音乐,按停止键使可使闹玲声停止。 三、工作原理及设计思路 利用单片机定时器完成计时功能,定时器0计时中断程序每隔5ms中断一次并当作一个计数,每中断一次计数加1,当计数200次时,则表示1s到了,秒变量加1,同理再判断是否1min钟到了,再判断是否1h到了。为了将时间在LED数码管上显示,可采用静态显示法和动态显示法,由于静态显示法需要数据锁存器等较多硬件,可采用动态显示法实现LED显示。 闹铃声由交流蜂鸣器产生,电路如右图,当P1.7输出不同频率的方波,蜂鸣器便会发出不同的声音。 四、电路设计及描述 (1) 硬件连接部分: 在ZKS-03单片机综合实验仪上有四位共阳LED数码管,其标号分别为LED1~LED4。 为了节省MCU的I/O口,采用串行接口方式,它仅占用系统2个I/O口,即P1.0口和P1.1口,一个用作数据线SDA,另一个用作时钟信号线CLK,它们都通过跳线选择器JP1相连。 由于采用共阳LED数码管,它的阴极分别通过限流电阻R20~R27连接到控制KD_0~KD_Q7。这样控制8个发光二极管,就需要8个I/O口。但由于单片机的I/O口资源是有限的,因此常采用实验电路所示的串并转换电路来扩充系统资源。串并转换电路其实质是一个串入并处的移位寄存器,串行数据再同步移位脉冲CLK的作用下经串行数据线SDA把数据移位到KD_0~KD_Q7端,这样仅需2根线就可以分别控制8个

相关文档
相关文档 最新文档