文档库 最新最全的文档下载
当前位置:文档库 › 经典数字电路和模拟电路面精彩试题

经典数字电路和模拟电路面精彩试题

经典数字电路和模拟电路面精彩试题
经典数字电路和模拟电路面精彩试题

数字电路

1、同步电路和异步电路的区别是什么?(仕兰微电子)

2、什么是同步逻辑和异步逻辑?(汉王笔试)

同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。

電路設計可分類為同步電路和非同步電路設計。同步電路利用時鐘脈衝使其子系統同步運作,而非同步電路不使用時鐘脈衝做同步,其子系統是使用特殊的“開始”和“完成”信號使之同步。由於非同步電路具有下列優點--無時鐘歪斜問題、低電源消耗、平均效能而非最差效能、模組性、可組合和可複用性--因此近年來對非同步電路研究增加快速,論文發表數以倍增,而Intel Pentium 4

處理器設計,也開始採用非同步電路設計。

异步电路主要是组合逻辑电路,用于产生地址译码器、FIFO或RAM的读写控制信号脉冲,其逻辑输出与任何时钟信号都没有关系,译码输出产生的毛刺通常是可以监控的。同步电路是由时序电路(寄存器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路共享同一个时钟CLK,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。

3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试)

线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现(漏极或者集电极开路),由于不用oc门可能使灌电流过大,而烧坏逻辑门,同时在输出端口应加一个上拉电阻。(线或则是下拉电阻)

4、什么是Setup 和Holdup时间?(汉王笔试)

5、setup和holdup时间,区别.(南山之桥)

6、解释setup time和hold time的定义和在时钟信号延迟时的变化。(未知)

7、解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA 2003.11.06 上海笔试试题)

Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。如果hold time不够,数据同样不能被打入触发器。

建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现

metastability的情况。如果数据信号在时钟沿触发前后持续的时间均超过建立和保持时间,那么超过量就分别被称为建立时间裕量和保持时间裕量。

8、说说对数字逻辑中的竞争和冒险的理解,并举例说明竞争和冒险怎样消除。(仕兰微电子)

9、什么是竞争与冒险现象?怎样判断?如何消除?(汉王笔试)

在组合逻辑中,由于门的输入信号通路中经过了不同的延时,导致到达该门的时间不一致叫竞争。产生毛刺叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒险现象。解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。

10、你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗?(汉王笔试)

常用逻辑电平:12V,5V,3.3V;TTL和CMOS不可以直接互连,由于TTL是在0.3-3.6V之

间,而CMOS则是有在12V的有在5V的。CMOS输出接到TTL是可以直接互连。TTL接到CMOS需

要在输出端口加一上拉电阻接到5V或者12V。

cmos的高低电平分别

为:Vih>=0.7VDD,Vil<=0.3VDD;Voh>=0.9VDD,Vol<=0.1VDD.

ttl的为:Vih>=2.0v,Vil<=0.8v;Voh>=2.4v,Vol<=0.4v.

用cmos可直接驱动ttl;加上拉后,ttl可驱动cmos.

11、如何解决亚稳态。(飞利浦-大唐笔试)

亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态。当一个触发器进入亚稳态时,既无法预测该单元的输出电平,也无法预测何时输出才能稳定在某个正确的电平上。在这个稳定期间,触发器输出一些中间级电平,或者可能处于振荡状态,并且这种无用的输出电平可以沿信号通道上的各个触发器级联式传播下去。

解决方法:

1 降低系统时钟

2 用反应更快的FF

3 引入同步机制,防止亚稳态传播

4 改善时钟质量,用边沿变化快速的时钟信号

关键是器件使用比较好的工艺和时钟周期的裕量要大。

12、IC设计中同步复位与异步复位的区别。(南山之桥)

同步复位在时钟沿采复位信号,完成复位动作。异步复位不管时钟,只要复位信号满足条件,就完成复位动作。异步复位对复位信号要求比较高,不能有毛刺,如果其与时钟关系不确定,也可能出现亚稳态。

13、MOORE 与 MEELEY状态机的特征。(南山之桥)

Moo re 状态机的输出仅与当前状态值有关, 且只在时钟边沿到来时才会有状态变化. Mealy 状态机的输出不仅与当前状态值有关, 而且与当前输入值有关, 这

14、多时域设计中,如何处理信号跨时域。(南山之桥)

不同的时钟域之间信号通信时需要进行同步处理,这样可以防止新时钟域中第一级触发器的亚稳态信号对下级逻辑造成影响,其中对于单个控制信号可以用两级同步器,如电平、边沿检测和脉冲,对多位信号可以用FIFO,双口RAM,握手信号等。

跨时域的信号要经过同步器同步,防止亚稳态传播。例如:时钟域1中的一个信号,要送到时钟域2,那么在这个信号送到时钟域2之前,要先经过时钟域2的同步器同步后,才能进入时钟域2。这个同步器就是两级d触发器,其时钟为时钟域2的时钟。这样做是怕时钟域1中的这个信号,可能不满足时钟域2中触发器的建立保持时间,而产生亚稳态,因为它们之间没有必然关系,是异步的。这样做只能防止亚稳态传播,但不能保证采进来的数据的正确性。所以通常只同步很少位数的信号。比如控制信号,或地址。当同步的是地址时,一般该地址应采用格雷码,因为格雷码每次只变一位,相当于每次只有一个同步器在起作

用,这样可以降低出错概率,象异步FIFO的设计中,比较读写地址的大小时,就是用这种方法。如果两个时钟域之间传送大量的数据,可以用异步FIFO来解决问题。

15、给了reg的setup,hold时间,求中间组合逻辑的delay范围。(飞利浦-大唐笔试)

Delay < period - setup – hold

16、时钟周期为T,触发器D1的寄存器到输出时间最大为T1max,最小为

T1min。组合逻辑电路最大延迟为T2max,最小为T2min。问,触发器D2的建立时间T3和保持时间应满足什么条件。(华为)

T3setup>T+T2max,T3hold>T1min+T2min

17、给出某个一般时序电路的图,有Tsetup,Tdelay,Tck->q,还有 clock

的delay,写出决

定最大时钟的因素,同时给出表达式。(威盛VIA 2003.11.06 上海笔试试题)

T+Tclkdealy>Tsetup+Tco+Tdelay;

Thold>Tclkdelay+Tco+Tdelay;

18、说说静态、动态时序模拟的优缺点。(威盛VIA 2003.11.06 上海笔试试题)

静态时序分析是采用穷尽分析方法来提取出整个电路存在的所有时序路径,计算信号在这些路径上的传播延时,检查信号的建立和保持时间是否满足时序要求,通过对最大路径延时和最小路径延时的分析,找出违背时序约束的错误。它不需要输入向量就能穷尽所有的路径,且运行速度很快、占用内存较少,不仅可

以对芯片设计进行全面的时序功能检查,而且还可利用时序分析的结果来优化设计,因此静态时序分析已经越来越多地被用到数字集成电路设计的验证中。

动态时序模拟就是通常的仿真,因为不可能产生完备的测试向量,覆盖门级网表中的每一条路径。因此在动态时序分析中,无法暴露一些路径上可能存在的时序问题;

19、一个四级的Mux,其中第二级信号为关键信号如何改善timing。(威盛VIA

2003.11.06 上海笔试试题)

关键:将第二级信号放到最后输出一级输出,同时注意修改片选信号,保证其优先级未被修改。

20、给出一个门级的图,又给了各个门的传输延时,问关键路径是什么,还问给出输入,使得输出依赖于关键路径。(未知)

21、逻辑方面数字电路的卡诺图化简,时序(同步异步差异),触发器有几种(区别,优点),全加器等等。(未知)

22、卡诺图写出逻辑表达使。(威盛VIA 2003.11.06 上海笔试试题)

23、化简F(A,B,C,D)= m(1,3,4,5,10,11,12,13,14,15)的和。(威盛)

卡诺图化简:一般是四输入,记住00 01 11 10顺序,

0 1 3 2

4 5 7 6

12 13 15 14

8 9 11 10

24、please show the CMOS inverter schmatic,layout and its cross sectionwith P-well process.Plot its transfer curve (Vout-Vin) And also explain the operation region of PMOS and NMOS for each segment of the transfer curve? (威盛笔试题circuit design-beijing-03.11.09)

25、To design a CMOS invertor with balance rise and fall time,please define the ration of channel width of PMOS and NMOS and explain?

26、为什么一个标准的倒相器中P管的宽长比要比N管的宽长比大?(仕兰微电子)

和载流子有关,P管是空穴导电,N管电子导电,电子的迁移率大于空穴,同样的电场下,N管的电流大于P管,因此要增大P管的宽长比,使之对称,这样才能使得两者上升时间下降时间相等、高低电平的噪声容限一样、充电放电的时间相等

27、用mos管搭出一个二输入与非门。(扬智电子笔试)

28、please draw the transistor level schematic of a cmos 2 input AND gate and explain which input has faster response for output rising edge.(less delay time)。(威盛笔试题circuit design-beijing-03.11.09)

29、画出NOT,NAND,NOR的符号,真值表,还有transistor level的电路。(Infineon笔试)

30、画出CMOS的图,画出tow-to-one mux gate。(威盛VIA 2003.11.06 上海笔试试题)

31、用一个二选一mux和一个inv实现异或。(飞利浦-大唐笔试)

input a,b;

output c;

assign c=a?(~b):(b);

32、画出Y=A*B+C的cmos电路图。(科广试题)

33、用逻辑们和cmos电路实现ab+cd。(飞利浦-大唐笔试)

34、画出CMOS电路的晶体管级电路图,实现Y=A*B+C(D+E)。(仕兰微电子)

以上均为画COMS电路图,实现一给定的逻辑表达式。

35、利用4选1实现F(x,y,z)=xz+yz'。(未知)

x,y作为4选1的数据选择输入,四个数据输入端分别是z或者z的反相,0,1

36、给一个表达式f=xxxx+xxxx+xxxxx+xxxx用最少数量的与非门实现(实际上就是化简)。

化成最小项之和的形式后根据~(~(A*B)*(~(C*D)))=AB+CD

37、给出一个简单的由多个NOT,NAND,NOR组成的原理图,根据输入波形画出各点波形。

(Infineon笔试)

思路:得出逻辑表达式,然后根据输入计算输出

38、为了实现逻辑(A XOR B)OR (C AND D),请选用以下逻辑中的一种,并说明为什么?1)INV 2)AND 3)OR 4)NAND 5)NOR 6)XOR 答案:NAND(未知)

39、用与非门等设计全加法器。(华为)

40、给出两个门电路让你分析异同。(华为)

41、用简单电路实现,当A为输入时,输出B波形为…(仕兰微电子)

写逻辑表达式,然后化简

42、A,B,C,D,E进行投票,多数服从少数,输出是F(也就是如果A,B,C,D,E 中1的个数比0多,那么F输出为1,否则F为0),用与非门实现,输入数目没有限制。(未知)

写逻辑表达式,然后化简

43、用波形表示D触发器的功能。(扬智电子笔试)

easy

44、用传输门和倒向器搭一个边沿触发器。(扬智电子笔试)

45、用逻辑们画出D触发器。(威盛VIA 2003.11.06 上海笔试试题)

46、画出DFF的结构图,用verilog实现之。(威盛)

47、画出一种CMOS的D锁存器的电路图和版图。(未知)

48、D触发器和D锁存器的区别。(新太硬件面试)

49、简述latch和filp-flop的异同。(未知)

50、LATCH和DFF的概念和区别。(未知)

51、latch与register的区别,为什么现在多用register.行为级描述中latch如何产生的。(南山之桥)

latch是电平触发,register是边沿触发,register在同一时钟边沿触发下动作,符合同步电路的设计思想,而latch则属于异步电路设计,往往会导致时序分析困难,不适当的应用latch则会大量浪费芯片资源。

52、用D触发器做个二分频的电路.又问什么是状态图。(华为)

53、请画出用D触发器实现2倍分频的逻辑电路?(汉王笔试)

54、怎样用D触发器、与或非门组成二分频电路?(东信笔试)

直接D触发器Q反相输出接到数据输入

55、How many flip-flop circuits are needed to divide by 16? (Intel) 16分频?

4

56、用filp-flop和logic-gate设计一个1位加法器,输入carryin和current-stage,输出carryout和next-stage. (未知)

57、用D触发器做个4进制的计数。(华为)

58、实现N位Johnson Counter,N=5。(南山之桥)

59、用你熟悉的设计方式设计一个可预置初值的7进制循环计数器,15进制的呢?(仕兰微电子)

60、数字电路设计当然必问Verilog/VHDL,如设计计数器。(未知)

61、BLOCKING NONBLOCKING 赋值的区别。(南山之桥)

非阻塞赋值:块内的赋值语句同时赋值,一般用在时序电路描述中

阻塞赋值:完成该赋值语句后才能做下一句的操作,一般用在组合逻辑描述中

62、写异步D触发器的verilog module。(扬智电子笔试)

module df f8(clk , reset, d, q);

input clk;

input reset;

input [7:0] d;

output [7:0] q;

reg [7:0] q;

always @ (posedge clk or posedge reset)

if(reset)

q <= 0;

else

q <= d;

endmodule

63、用D触发器实现2倍分频的Verilog描述?(汉王笔试)

module divide2( clk , clk_o, reset);

input clk , reset;

wire in;

reg out ;

always @ ( posedge clk or posedge reset)

if ( reset)

out <= 0;

else

out <= in;

assign in = ~out;

assign clk_o = out;

endmodule

64、可编程逻辑器件在现代电子设计中越来越重要,请问:a) 你所知道的可编程逻辑器件有哪些? b) 试用VHDL或VERILOG、ABLE描述8位D触发器逻辑。(汉王笔试)

PAL,GAL,PLD,CPLD,FPGA。

module dff8(clk , reset, d, q);

input clk;

input reset;

input[7:0] d;

reg[7:0] q;

always @ (posedge clk or posedge reset)//异步复位,高电平有效

if(reset)

q <= 0;

else

q <= d;

endmodule

65、请用HDL描述四位的全加法器、5分频电路。(仕兰微电子)

66、用VERILOG或VHDL写一段代码,实现10进制计数器。(未知)

67、用VERILOG或VHDL写一段代码,实现消除一个glitch。(未知)

68、一个状态机的题目用verilog实现(不过这个状态机画的实在比较差,很容易误解的)。(威盛VIA 2003.11.06 上海笔试试题)

69、描述一个交通信号灯的设计。(仕兰微电子)

70、画状态机,接受1,2,5分钱的卖报机,每份报纸5分钱。(扬智电子笔试)

71、设计一个自动售货机系统,卖soda水的,只能投进三种硬币,要正确的找回钱数。(1)画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计的要求。(未知)

72、设计一个自动饮料售卖机,饮料10分钱,硬币有5分和10分两种,并考虑找零:(1)画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计的要求;(3)设计工程中可使用的工具及设计大致过程。(未知)

73、画出可以检测10010串的状态图,并verilog实现之。(威盛)

74、用FSM实现101101的序列检测模块。(南山之桥)

a为输入端,b为输出端,如果a连续输入为1101则b输出为1,否则为0。

例如a: 0001100110110100100110

b: 0000000000100100000000

请画出state machine;请用RTL描述其state machine。(未知)

75、用verilog/vddl检测stream中的特定字符串(分状态用状态机写)。(飞利浦-大唐笔试)

76、用verilog/vhdl写一个fifo控制器(包括空,满,半满信号)。(飞利浦-大唐笔试)

reg[N-1:0] memory[0:M-1]; 定义FIFO为N位字长容量M

八个always模块实现,两个用于读写FIFO,两个用于产生头地址head和尾地址tail,一个产生counter计数,剩下三个根据counter的值产生空,满,半满信号产生空,满,半满信号

77、现有一用户需要一种集成电路产品,要求该产品能够实现如下功能:

y=lnx,其中,x为4位二进制整数输入信号。y为二进制小数输出,要求保留两位小数。电源电压为3~5v假设公司接到该项目后,交由你来负责该产品的设计,试讨论该产品的设计全程。(仕兰微电子)

78、sram,flash memory,及dram的区别?(新太硬件面试)

sram:静态随机存储器,存取速度快,但容量小,掉电后数据会丢失,不像DRAM 需要不停的REFRESH,制造成本较高,通常用来作为快取(CACHE) 记忆体使用

flash:闪存,存取速度慢,容量大,掉电后数据不会丢失

dram:动态随机存储器,必须不断的重新的加强(REFRESHED) 电位差量,否则电位差将降低至无法有足够的能量表现每一个记忆单位处于何种状态。价格比sram便宜,但访问速度较慢,耗电量较大,常用作计算机的内存使用。

79、给出单管DRAM的原理图(西电版《数字电子技术基础》作者杨颂华、冯毛官205页图9-14b),问你有什么办法提高refresh time,总共有5个问题,记不起来了。(降低温度,增大电容存储容量)(Infineon笔试)

80、Please draw schematic of a common SRAM cell with 6 transistors,point out which nodes can store data and which node is word line control? (威盛笔试题circuit design-beijing-03.11.09)

81、名词:sram,ssram,sdram

名词IRQ,BIOS,USB,VHDL,SDR

IRQ: Interrupt ReQuest

BIOS: Basic Input Output System

USB: Universal Serial Bus

VHDL: VHIC Hardware Description Language

SDR: Single Data Rate

压控振荡器的英文缩写(VCO)。

动态随机存储器的英文缩写(DRAM)。

名词解释,比如PCI、ECC、DDR、interrupt、pipeline、

IRQ,BIOS,USB,VHDL,VLSI VCO(压控振荡器) RAM (动态随机存储器),FIR IIR DFT(离散傅立叶变换)或者是中文的,比如:a.量化误差 b.直方图 c.白平衡

PCI:Peripheral Component Interconnect(PCI),

DDR:DoubleDataRate

ECC:Error Checking and Correcting

模拟电路

1、基尔霍夫定理的内容是什么?(仕兰微电子)在电子线路中,随便找个环路,取相同的参考方向的,环路电压之和为零。

2、平板电容公式(C=εS/4πkd)。(未知)

3、最基本的如三极管曲线特性。(未知)

4、描述反馈电路的概念,列举他们的应用。(仕兰微电子)灵敏放大器锁存器。。。

5、负反馈种类

(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知)

6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子)

频率补偿目的就是减小时钟和相位差,使输入输出频率同步.频率补偿的根本思想就是在基本电路或反馈网络中添加一些元件来改变反馈放大电路的开环频率特性(主要是把高频时最小极点频率与其相近的极点频率的间距拉大),破坏自激振荡条件,经保证闭环稳定工作,并满足要求的稳定裕度,实际工作中常采用的方法是在基本放大器中接入由电容或RC元件组成的补偿电路,来消去自激振荡.

7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知)

8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸)

9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。(未知)

10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。(未知)

11、画差放的两个输入管。(凹凸)

12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的运放电路。(仕兰微电子)

13、用运算放大器组成一个10倍的放大器。(未知)

14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某

的 rise/fall时间。(Infineon笔试试题)

15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C上电压和R上电

压,要求绘制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通

波器。当RC<

16、有源滤波器和无源滤波器的原理及区别?(新太硬件)

17、有一时域信号S=V0sin(2pif0t)+V1cos(2pif1t)+V2sin(2pif3t+90),当其通过低通、

带通、高通滤波器后的信号表示方式。(未知)

18、选择电阻时要考虑什么?(东信笔试题)

19、在CMOS电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P管

还是N管,为什么?(仕兰微电子)

20、给出多个mos管组成的电路求5个点的电压。(Infineon笔试试题)

21、电压源、电流源是集成电路中经常用到的模块,请画出你知道的线路结构,简单描

其优缺点。(仕兰微电子)

22、画电流偏置的产生电路,并解释。(凹凸)

23、史密斯特电路,求回差电压。(华为面试题)

24、晶体振荡器,好像是给出振荡频率让你求周期(应该是单片机的,12分之一周期....)

(华为面试题)

25、LC正弦波振荡器有哪几种三点式振荡电路,分别画出其原理图。(仕兰微电子)

26、VCO是什么,什么参数(压控振荡器?) (华为面试题)

27、锁相环有哪几部分组成?(仕兰微电子)

28、锁相环电路组成,振荡器(比如用D触发器如何搭)。(未知)

29、求锁相环的输出频率,给了一个锁相环的结构图。(未知)

30、如果公司做高频电子的,可能还要RF知识,调频,鉴频鉴相之类,不一一列举

31、一电源和一段传输线相连(长度为L,传输时间为T),画出终端处波形,考虑传输线

无损耗。给出电源电压波形图,要求绘制终端波形图。(未知)

32、微波电路的匹配电阻。(未知)

33、DAC和ADC的实现各有哪些方法?(仕兰微电子)

34、A/D电路组成、工作原理。(未知)

35、实际工作所需要的一些技术知识(面试容易问到)。如电路的低功耗,稳定,高速如

做到,调运放,布版图注意的地方等等,一般会针对简历上你所写做过的东西具体问,肯

定会问得很细(所以别把什么都写上,精通之类的词也别用太多了),这个东西各个人

工程师不得不知的20个经典模拟电路(详细图文)

工程师不得不知的20个经典模拟电路(详细图文) 对模拟电路的掌握分为三个层次初级层次:是熟练记住这二十个电路,清楚这二十个电路的作用。只要是电子爱好者,只要是学习自动化、电子等电控类专业的人士都应该且能够记住这二十个基本模拟电路。 中级层次:是能分析这二十个电路中的关键元器件的作用,每个元器件出现故障时电路的功能受到什么影响,测量时参数的变化规律,掌握对故障元器件的处理方法;定性分析电路信号的流向,相位变化;定性分析信号波形的变化过程;定性了解电路输入输出阻抗的大小,信号与阻抗的关系。有了这些电路知识,您极有可能成长为电子产品和工业控制设备的出色的维修维护技师。 高级层次:是能定量计算这二十个电路的输入输出阻抗、输出信号与输入信号的比值、电路中信号电流或电压与电路参数的关系、电路中信号的幅度与频率关系特性、相位与频率关系特性、电路中元器件参数的选择等。达到高级层次后,只要您愿意,受人尊敬的高薪职业--电子产品和工业控制设备的开发设计工程师将是您的首选职业。 1桥式整流电路 注意要点:1、二极管的单向导电性,伏安特性曲

线,理想开关模型和恒压降;2、桥式整流电流流向过程,输入输出波形;3、计算:V o,Io,二极管反向电压。2电源滤波器注意要点:1、电源滤波的过程,波形形成过程;2、计算:滤波电容的容量和耐压值选择。3信号滤波器 注意要点:1、信号滤波器的作用,与电源滤波器的区别和相同点;2、LC 串联和并联电路的阻抗计算,幅频关系和相频关系曲线;3、画出通频带曲线,计算谐振频率。 4微分和积分电路注意要点:1、电路的作用,与滤波器的区别和相同点;2、微分和积分电路电压变化过程分析,画出电压变化波形图;3、计算:时间常数,电压变化方程,电阻和电容参数的选择。 5?共射极放大电路注意要点:1、三极管的结构、三极管各极电流关系、特性曲线、放大条件;2、元器件的作用、电路的用途、电压放大倍数、输入和输出的信号电压相位关系、交流和直流等效电路图;3、静态工作点的计算、电压放大倍数的计算。 6分压偏置式共射极放大电路注意要点:1、元器件的作用、电路的用途、电压放大倍数、输入和输出的信号电压相位关系、交流和直流等效电路图;2、电流串联负反馈过程的分析,负反馈对电路参数的影响;3、静态

工程师应该掌握的20个模拟电路

工程师应该掌握的20个模拟电路 电子信息工程系黄有全高级工程师 对模拟电路的掌握分为三个层次。 初级层次 初级层次是熟练记住这二十个电路,清楚这二十个电路的作用。只要是电子爱好者,只要是学习自动化、电子等电控类专业的人士都应该且能够记住这二十个基本模拟电路。 中级层次 中级层次是能分析这二十个电路中的关键元器件的作用,每个元器件出现故障时电路的功能受到什么影响,测量时参数的变化规律,掌握对故障元器件的处理方法;定性分析电路信号的流向,相位变化;定性分析信号波形的变化过程;定性了解电路输入输出阻抗的大小,信号与阻抗的关系。有了这些电路知识,您极有可能成长为电子产品和工业控制设备的出色的维修维护技师 维修维护技师 维修维护技师。 高级层次 高级层次是能定量计算这二十个电路的输入输出阻抗、输出信号与输入信号的比值、电路中信号电流或电压与电路参数的关系、电路中信号的幅度与频率关系特性、相位与频率关系特性、电路中元器件参数的选择等。达到高级层次后,只要您愿意,受人尊敬的高薪职业--电子产品和工业控制设备的开发设计工程师 设计工程师 设计工程师将是您的首选职业。 一、桥式整流电路 1、二极管的单向导电性: 伏安特性曲线: 理想开关模型和恒压降模型:2、桥式整流电流流向过程: 输入输出波形: 3、计算:V o, Io,二极管反向电压。二、电源滤波器 1、电源滤波的过程分析: 波形形成过程: 2、计算:滤波电容的容量和耐压值选择。 三、信号滤波器 1、信号滤波器的作用: 与电源滤波器的区别和相同点: 2、LC串联和并联电路的阻抗计算,幅频关

系和相频关系曲线。 3、画出通频带曲线。 计算谐振频率。 四、微分和积分电路 1、电路的作用,与滤波器的区别和相同点。 2、微分和积分电路电压变化过程分析,画出电压变化波形图。 3、计算:时间常数,电压变化方程,电阻和电容参数的选择。 五、共射极放大电路 1、三极管的结构、三极管各极电流关系、特性曲线、放大条件。 数、输入和输出的信号电压相位关系、交流和直流等效电路图。 3、静态工作点的计算、电压放大倍数的计算。 六、分压偏置式共射极放大电路 1、元器件的作用、电路的用途、电压放大倍数、输入和输出的信号电压相位关系、交流和直流等效电路图。 2、电流串联负反馈过程的分析,负反馈对电路参数的影响。 3、静态工作点的计算、电压放大倍数的计算。 4、受控源等效电路分析。

模拟电路测验试题10套

模拟电路测验试题10套 1 / 34

————————————————————————————————作者:————————————————————————————————日期: 2 / 34

试卷编号01 ……………………………………………………………………………………………………………… 一、填空(本题共20分,每空1分): 1.整流电路的任务是__________;滤波电路的任务是__________。 2.在PN结的形成过程中,载流子的扩散运动是由于__________而产生的,漂移运动是__________作用下产生的。 3.放大器有两种不同性质的失真,分别是__________失真和__________失真。 4.在共射阻容耦合放大电路中,使低频区电压增益下降的主要原因是__________的影响;使高频区电压增益下降的主要原因是__________的影响。 5.在交流放大电路中,引入直流负反馈的作用是__________;引入交流负反馈的作用是___________。 6.正弦波振荡电路一般由__________、__________、__________、__________这四个部分组成。 7.某多级放大器中各级电压增益为:第一级25dB 、第二级15dB 、第三级60dB ,放大器的总增益为__________,总的放大倍数为__________。 8.在双端输入、单端输出的差动放大电路中,发射极公共电阻R e对__________信号的放大无影响,对__________信号的放大具有很强的抑制作用。共模抑制比K CMR为__________之比。 9.某放大电路的对数幅频特性如图1(在第三页上)所示,当信号频率恰好为上限频率时,实际的电压增益为__________dB。 二、判断(本题共10分,每小题1分,正确的打√,错误的打×): 1、()构成各种半导体器件的基础是PN结,它具有单向导电和反向击穿特性。 2、()稳定静态工作点的常用方法主要是负反馈法和参数补偿法。 3、()在三极管的三种基本组态中,只有电流放大能力而无电压放大能力的是基本共集组态。 4、()若放大电路的放大倍数为负值,则引入的一定是负反馈。 5、()通常,甲类功放电路的效率最大只有40%,而乙类和甲乙类功放电路的效率比甲类功放电路的效率要高。 6、()一般情况下,差动电路的共模电压放大倍数越大越好,而差模电压放大倍数越小越好。 7、()根据负反馈自动调节原理,交流负反馈可以消除噪声、干扰和非线性失真。 8、()要使放大电路的输出电流稳定并使输入电阻增大,则应引入电流串联负反馈。 9、()在放大电路中引入电压负反馈可以使输出电阻减小,在放大电路中引入电流负反馈可以使输出电阻增大。 10、()在正弦波振荡电路的应用中,通常,当要求振荡工作频率大于1MHz时,应选用RC正弦波振荡电路。 三、选择(本题共20分,每个选择2分): 1.在放大电路中,测得某三极管的三个电极的静态电位分别为0V,-10V,-9.3V,则此三极管是() A. NPN型硅管; B. NPN型锗管; C. PNP型硅管; D. PNP型锗管; 2.为了使放大电路Q点上移,应使基本放大电路中偏置电阻R b的值()。 A. 增大 B. 不变 C. 减小 3.典型的差分放大电路中Re()。 1 / 34

20个常用模拟电路

一. 桥式整流电路 1二极管的单向导电性:二极管的PN结加正向电压,处于导通状态;加反向电压,处于截止状态。 伏安特性曲线; 理想开关模型和恒压降模型: 理想模型指的是在二极管正向偏置时,其管压降为0,而当其反向偏置时,认为它的电阻为无穷大,电流为零.就是截止。恒压降模型是说当二极管导通以后,其管压降为恒定值,硅管为0.7V,锗管0.5 V 2桥式整流电流流向过程: 当u 2是正半周期时,二极管Vd1和Vd2导通;而夺极管Vd3和Vd4截止,负载R L 是的电流是自上而下流过负载,负载上得到了与u 2正半周期相同的电压;在u 2的负半周,u 2的实际极性是下正上负,二极管Vd3和Vd4导通而Vd1和Vd2 截止,负载R L 上的电流仍是自上而下流过负载,负载上得到了与u 2正半周期相同的电压。 3计算:Vo,Io,二极管反向电压 Uo=0.9U 2, Io=0.9U 2 /R L ,U RM =√2 U 2 二.电源滤波器 1电源滤波的过程分析:电源滤波是在负载R L 两端并联一只较大容量的电容器。由于电容两端电压不能突变,因而负载两端的电压也不会突变,使输出电压得以平滑,达到滤波的目的。 波形形成过程:输出端接负载R L 时,当电源供电时,向负载提供电流的同时也

向电容C充电,充电时间常数为τ 充=(Ri∥R L C)≈RiC,一般Ri〈〈R L, 忽略Ri压 降的影响,电容上电压将随u 2迅速上升,当ωt=ωt 1 时,有u 2=u 0,此后u 2 低于u 0,所有二极管截止,这时电容C通过R L 放电,放电时间常数为R L C,放 电时间慢,u 0变化平缓。当ωt=ωt 2时,u 2=u 0, ωt 2 后u 2又变化到比u 0 大,又开始充电过程,u 0迅速上升。ωt=ωt 3时有u 2=u 0,ωt 3 后,电容通 过R L 放电。如此反复,周期性充放电。由于电容C的储能作用,R L 上的电压波动 大大减小了。电容滤波适合于电流变化不大的场合。LC滤波电路适用于电流较大,要求电压脉动较小的场合。 2计算:滤波电容的容量和耐压值选择 电容滤波整流电路输出电压Uo在√2U 2~0.9U 2 之间,输出电压的平均值取决于 放电时间常数的大小。 电容容量R L C≧(3~5)T/2其中T为交流电源电压的周期。实际中,经常进一步 近似为Uo≈1.2U 2整流管的最大反向峰值电压U RM =√2U 2 ,每个二极管的平均电 流是负载电流的一半。 三.信号滤波器 1信号滤波器的作用:把输入信号中不需要的信号成分衰减到足够小的程度,但同时必须让有用信号顺利通过。 与电源滤波器的区别和相同点:两者区别为:信号滤波器用来过滤信号,其通带是一定的频率范围,而电源滤波器则是用来滤除交流成分,使直流通过,从而保持输出电压稳定;交流电源则是只允许某一特定的频率通过。 相同点:都是用电路的幅频特性来工作。 2LC串联和并联电路的阻抗计算:串联时,电路阻抗为Z=R+j(XL-XC)=R+j(ωL-1/ωC) 并联时电路阻抗为Z=1/jωC∥(R+jωL)= 考滤到实际中,常有R<<ωL,所以有Z≈

大学模电最新最全题库模拟电路考试试题10套和答案

试卷编号01 ……………………………………………………………………………………………………………… 一、填空(本题共20分,每空1分): 1.整流电路的任务是__将交流电变成脉动直流电________;滤波电路的任务是_滤除脉动直流电中的交流成分_________。 2.在PN结的形成过程中,载流子的扩散运动是由于__载流子的浓度差________而产生的,漂移运动是_______内电场的电场力___作用下产生的。 3.放大器有两种不同性质的失真,分别是____线性______失真和非线性__________失真。 4.在共射阻容耦合放大电路中,使低频区电压增益下降的主要原因是_耦合电容__和旁路电容_______的影响;使高频区电压增益下降的主要原因是__三极管的级间电容________的影响。 5.在交流放大电路中,引入直流负反馈的作用是_稳定静态工作点_________;引入交流负反馈的作用是___稳定增益、抑制非线性失真、___改变输入输出电阻、展宽频带、抑制干扰和噪声__。 6.正弦波振荡电路一般由选频网络__________、__放大电路________、正反馈网络__________、__稳幅电路________这四个部分组成。 7.某多级放大器中各级电压增益为:第一级25dB 、第二级15dB 、第三级60dB ,放大器的总增益为__100________,总的放大倍数为__________。 8.在双端输入、单端输出的差动放大电路中,发射极公共电阻R e对__差模输入________信号的放大无影响,对_共模输入_________信号的放大具有很强的抑制作用。共模抑制比K CMR为_差模增益与共模增益_________之比。 9.某放大电路的对数幅频特性如图1(在第三页上)所示,当信号频率恰好为上限频率时,实际的电压增益为____37______dB。 二、判断(本题共10分,每小题1分,正确的打√,错误的打×): 1、(y )构成各种半导体器件的基础是PN结,它具有单向导电和反向击穿特性。 2、(y )稳定静态工作点的常用方法主要是负反馈法和参数补偿法。 3、(y )在三极管的三种基本组态中,只有电流放大能力而无电压放大能力的是基本共集组态。 4、(n )若放大电路的放大倍数为负值,则引入的一定是负反馈。 5、(yn )通常,甲类功放电路的效率最大只有40%,而乙类和甲乙类功放电路的效率比甲类功放电路的效率要高。 6、(n )一般情况下,差动电路的共模电压放大倍数越大越好,而差模电压放大倍数越小越好。 7、(y n)根据负反馈自动调节原理,交流负反馈可以消除噪声、干扰和非线性失真。 8、(y)要使放大电路的输出电流稳定并使输入电阻增大,则应引入电流串联负反馈。 9、(y)在放大电路中引入电压负反馈可以使输出电阻减小,在放大电路中引入电流负反馈可以使输出电阻增大。 10、(y n)在正弦波振荡电路的应用中,通常,当要求振荡工作频率大于1MHz时,应选用RC正弦波振荡电路。 三、选择(本题共20分,每个选择2分): 1.在放大电路中,测得某三极管的三个电极的静态电位分别为0V,-10V,-9.3V,则此三极管是( A ) A. NPN型硅管; B. NPN型锗管; C. PNP型硅管; D. PNP型锗管; 2.为了使放大电路Q点上移,应使基本放大电路中偏置电阻R b的值(C )。 A. 增大 B.不变 C. 减小 3.典型的差分放大电路中Re( B )。 A.对差模信号起抑制作用 B. 对共模信号起抑制作用 C. 对差模信号和共模信号均无作用 4.在差动电路中,若单端输入的差模输入电压为20V,则其共模输入电压为(C )。 A. 40V B. 20V C. 10V D. 5V 5.电流源的特点是( A )。 A .交流电阻大,直流电阻小; B . 交流电阻小,直流电阻大; C. 交流电阻大,直流电阻大; D. 交流电阻小,直流电阻小。 6.影响放大电路高频特性的主要因素是( D )。 A. 耦合电容和旁路电容的存在; B. 放大电路的静态工作点不合适; C. 半导体管的非线性特性; D. 半导体管极间电容和分布电容的存在; 7.关于理想运算放大器的错误叙述是( A )。 A.输入阻抗为零,输出阻抗也为零;B.输入信号为零时,输出处于零电位; C.频带宽度从零到无穷大;D.开环电压放大倍数无穷大 8.有T1 、T2和T3三只晶体管,T1的β=200,I CEO=200μA;T2的β=100,I CEO=10μA;T3的β=10,I CEO=100μA,其它参数基本相同,则实用中应选( B ) A. T1管; B. T2管; C. T3管 9.交流反馈是指( C ) A.只存在于阻容耦合电路中的负反馈;B.变压器耦合电路中的负反馈; C.交流通路中的负反馈;D.放大正弦信号时才有的负反馈; 10.RC桥式正弦波振荡电路是由两部分组成,即RC串并联选频网络和( D ) A. 基本共射放大电路; B. 基本共集放大电路; C. 反相比例运算电路; D. 同相比例运算电路; 四、分析与计算(本题共50分): 1.(本小题10分) 电路如图2所示,通过分析判断反馈组态,并近似计算其闭环电压增益A usf。 2.(本小题10分) 电路如图3所示,u2=10V,在下列情况下,测得输出电压平均值U o的数值各为多少?(1)正常情况时;(2)电容虚焊时;(3)R L开路时;(4)一只整流管和电容C同时开路时。 3.(本小题12分) 如图4所示电路中,A为理想运放,Vcc=16V,R L=8Ω,R1=10kΩ,R2=100kΩ,三极管的饱和管压降U CES=0V,U o=-10V。(1)合理连接反馈电阻R2;(2)设A输出电压幅值足够大,估算最大输出功率P om;(3)估算单个三极管的最大集电极

模拟电路典型例题讲解

3.3 频率响应典型习题详解 【3-1】已知某放大器的传递函数为 试画出相应的幅频特性与相频特性渐近波特图,并指出放大器的上限频率f H ,下限频率f L 及中频增益A I 各为多少? 【解】本题用来熟悉:(1)由传递函数画波特图的方法;(2)由波特图确定放大器频响参数的方法。 由传递函数可知,该放大器有两个极点:p 1=-102rad/s ,p 2=-105rad/s 和一个零点z =0。 (1)将A (s )变换成以下标准形式: (2)将s =j ω代入上式得放大器的频率特性: 写出其幅频特性及相频特性表达式如下: 对A (ω)取对数得对数幅频特性: (3)在半对数坐标系中按20lg A (ω)及φ(ω)的关系作波特图,如题图3.1所示。

由题图3.1(a )可得,放大器的中频增益A I =60dB ,上限频率f H =105/2π≈15.9kHz , 下限频率f L =102/2π≈15.9Hz 。 【3-2】已知某放大器的频率特性表达式为 试问该放大器的中频增益、上限频率及增益带宽积各为多少? 【解】本题用来熟悉:由放大器的频率特性表达式确定其频率参数的方法。 将给出的频率特性表达试变换成标准形式: 则 当ω = 0时,A (0) =200,即为放大器的直流增益(或低频增益)。 当ω =ωH 时, ωH =106rad/s 相应的上限频率为 由增益带宽积的定义可求得:GBW=│A (0)·f H │≈31.84MHz 思考:此题是否可用波特图求解? 【3-3】已知某晶体管电流放大倍数β的频率特性波特图如题图3.2(a )所示,试写出β的频率特性表达式,分别指出该管的ωβ、ωT 各为多少?并画出其相频特性的渐近波特图。

模拟电路期末试卷及答案

《模拟电子技术基础(1)》期末试题 (A 卷)参考答案及评分标准 一、填空(每空1分,共20分) 1. 双极型晶体管工作在放大区的偏置条件是发射结 正偏 、集电结 反偏 。 2. 放大器级间耦合方式有三种: 直接 耦合; 阻容 耦合; 变压器 耦合;在集成电路中通常采用 直接 耦合。 3. 差分放大器的基本特点是放大 差模信号 、抑制 共模信号 。 4. 乙类推挽放大器的主要失真是 交越失真 ,要消除此失真,应改用 甲乙 类推挽放大器。 5. 图1所示两级放大电路,图中级间采用 阻容 耦合方式,1T 接成 共基 组态,2T 接成 共集 组态,1R 和2R 的作用是 为T1管提供基极偏置 。 6. 在阻容耦合放大器中,若要降低下限频率,应将耦合电容的值 增大 。 7. 共射-共基组合电路中,由于共射电路的上限频率 小于 共基电路的上限频率,故此组合电路的上限频率主要取决于 共射 电路。 8. 负反馈系统产生自激的条件是1)(-=ωj T ,相应的振幅条件是1)(=ωj T ,相位条件是()πω?±=T 。

二、简答(共3小题,每小题5分,共15分) 1. 测得工作在放大电路中两个晶体管的三个电极电流如图2所示 (1)判断它们各是NPN 管还是PNP 管,在图中标出e ,b ,c 极; 答:见图中标识(判断NPN 管还是PNP 管各1分,标出e ,b ,c 极1分, 共3分) (2)估算(b)图晶体管的β和α值。 601 .06 === B C I I β, 985.01≈+= ββα (各1分,共2分)

2.电路如图3所示,试回答下列问题 (1)要使电路具有稳定的输出电压和高的输入电阻,应接入何种负反馈? R f 应如何接入?(在图中连接) 答:应接入电压串联负反馈(1分) R接法如图(1分) f (2)根据前一问的反馈组态确定运放输入端的极性(在图中“□”处标出),并根据已给定的电路输入端极性在图中各“○”处标注极性。 答:见图中标识(3分)(共6空,两个1分) 3.简述直流电源的主要组成部分及各部分功能。 答:直流电源主要由整流电路、滤波滤波、稳压电路组成,其中整流电路的作用是将交流电压转换为直流电压,滤波电路的作用是减小电压的脉动,稳压电路的作用是使输出直流电压基本不受电网电压波动和负载电阻变化的影响,从而获得足够高的稳定性。(组成部分3分,功能2分)

经典20个模拟电路原理与其电路图

经典的20个模拟电路原理及其电路图 对模拟电路的掌握分为三个层次: 初级层次:是熟练记住这二十个电路,清楚这二十个电路的作用。只要是电子爱好者,只要是学习自动化、电子等电控类专业的人士都应该且能够记住这二十个基本模拟电路。 中级层次:是能分析这二十个电路中的关键元器件的作用,每个元器件出现故障时电路的功能受到什么影响,测量时参数的变化规律,掌握对故障元器件的处理方法;定性分析电路信号的流向,相位变化;定性分析信号波形的变化过程;定性了解电路输入输出阻抗的大小,信号与阻抗的关系。有了这些电路知识,您极有可能成长为电子产品和工业控制设备的出色的维修维护技师。 高级层次:是能定量计算这二十个电路的输入输出阻抗、输出信号与输入信号的比值、电路中信号电流或电压与电路参数的关系、电路中信号的幅度与频率关系特性、相位与频率关系特性、电路中元器件参数的选择等。达到高级层次后,只要您愿意,受人尊敬的高薪职业--电子产品和工业控制设备的开发设计工程师将是您的首选职业。 一、桥式整流电路 1、二极管的单向导电性: 伏安特性曲线: 理想开关模型和恒压降模型: 2、桥式整流电流流向过程: 输入输出波形: 3、计算:Vo, Io,二极管反向电压。 1 / 26

二、电源滤波器 1、电源滤波的过程分析: 波形形成过程: 2、计算:滤波电容的容量和耐压值选择。 三、信号滤波器 1、信号滤波器的作用: 与电源滤波器的区别和相同点: 2、LC 串联和并联电路的阻抗计算,幅频关系和相频关系曲线。 3、画出通频带曲线。 计算谐振频率。 2 / 26

3 / 26 四、 微分和积分电路 1、电路的作用,与滤波器的区别和相同点。 2、微分和积分电路电压变化过程分析,画出电压变化波形图。 3、计算:时间常数,电压变化方程,电阻和电容参数的选择。

模拟电子技术习题10套与答案

《模拟电子技术》复习题一 一、填空题 1、在N型半导体中,多数载流子是;在P型半导体中,多数载流子是。 2、场效应管从结构上分为结型和两大类,它属于控制性器件。 3、为了使高阻信号源与低阻负载能很好地配合,可以在信号源与负载之间接入(共射、共集、共基)组态放大电路。 4、在多级放大器中,中间某一级的电阻是上一级的负载。 5、集成运放应用电路如果工作在线性放大状态,一般要引入____________。 6、根据下图中各三极管的电位,判断它们所处的状态分别为_________、_________、_________。 7、正弦波振荡电路通常由,,和 四部分组成。 二、选择题 1、利用二极管的()组成整流电路。 A 正向特性 B 单向导电性 C反向击穿特性 2、P型半导体是在本征半导体中加入()后形成的杂质半导体。 A空穴 B三价元素硼 C五价元素锑 3、场效应管的漏极特性曲线如图2-3所示,其类型为( )场效应管。 A P沟道增强型MOS型 B P沟道耗尽型MOS型 C N沟道增强型MOS型 D N沟道耗尽型MOS型 E N沟道结型 F P沟道结型

图2-10 4、有一晶体管接在放大电路中,今测得它的各极对地电位分别为V 1=-4V,V 2=-1.2V,V 3=-1.4V,试判别管子的三个管脚分别是( )。 A 1:e、2:b、3:c B 1:c、2:e 、3:b C 1:c、2:b、3:e D 其它情况 5、集成运放中间级的作用是( )。 A 提高共模抑制比 B 提高输入电阻 C 提高放大倍数 D 提供过载保护 6、根据相位平衡条件,判断图2-6所示振荡电路中( )发生振荡。 A 可能 B 不能 7、差模信号电压是两个输入信号电压( )的值。 A 差 B 和 C 算术平均 8、在单相桥式整流电容滤波电路中,已知变压器二次电压有效值U 2=24V ,设二极管为理想二极管,用直流电压表测得R L 的电压值约为21.6V ,问电路的现象是( )。 A 正常工作情况 B R L 开路 C C 开路 D 一个二极管和C 开路 E 一个二极管开路 F 其它情况 9、某仪表放大电路,要求输入电阻大,输出电流稳定,应选( )负反馈。 A 电压串联 B 电压并联 C 电流串联 D 电流并联 10、设图2-10所示电路中二极管D1、D2为理想二极管,判断它们是导通还是截止?( ) A D1导通,D2导通 B D1导通,D2截止 C D1截止,D2导通 D D1截止,D2截止 三、判断题 ( )1、温度升高后,本征半导体中自由电子和空穴数目都增多,且增量相同。 ( )2、结型场效应管通常采用两种偏置方式,即(源极)自给偏压式和栅极分压与源极自偏相结合的偏置方式。 ( )3、共集电极电路没有电压和电流放大作用。 ( )4、用电流源代替R e 后电路的差模倍数增加。 ( )5、集成运放内部第一级是差分放大电路,因此它有两个输入端。 ( )6、只有两个晶体管的类型相同(都为NPN 管或都为PNP 管时)才能组成复合管。 ( )7、RC 桥式振荡电路只要R f≤2R 1就能产生自激振荡。 ( )8、一个理想的差分放大电路,只能放大差模信号,不能放大共模信号。 ( )9、电压负反馈可以稳定输出电压。 ( )10、直流电源是一种电能形式转换电路,将交流电变为直流电。 四、分析题 电路如图所示: (1)写出输入级、中间级、输出级的电路名称。 + + +++ +------Rb1 Rb2Re1Re2 Rc RL C1Ce C1C2L 15V 8V Re1 Re2 Ucc Ucc C2 Ugs+10-1-2 id Ubs us Rs R R R R R R R R 2R 2R 2R Uo1Uo2Uo3Uo4+-Uo +1+2+4A1A2A3 A4图2-1 图2-2 图2-3 图2-6 图2-4 图2-5图3-1 + + ++++ ------D2R Rb1 Rb2Re1 Re2 Rc RL C1 Ce C1 C2 L 15V 8V B=50 Ui Rf Re1 Re2 Ucc Ucc C2 Ugs+10-1 -2 id Ubs us Rs R R R R R R R R 2R 2R 2R Uo1Uo2Uo3Uo4+-Uo +1 +2+4A1A2A3 A4图2-1 图2-2 图2-3图2-6 图2-4图2-5图3-1

模拟电路考试题及答案【精】

自测题一 一、判断题 1.因为P型半导体的多数载流子是空穴,所以它带正电。(F) 2.在N型半导体中如果掺入足够量的三价元素,可将其改型为P型半导体。(T) 3.处于放大状态的三极管,集电极电流是多数载流子漂移所形成的。(F) 二、单选题 1.半导体中的少数载流子产生的原因是(D)。 A.外电场B.内电场C.掺杂D.热激发2.用万用表测二极管的正、反向电阻来判断二极管的好坏,好的管子应为(C)。 A.正、反向电阻相等B.正向电阻大,反向电阻小 C.反向电阻比正向电阻大很多倍D.正、反向电阻都等于无穷大 3.二极管的伏安特性曲线的正向部分在环境温度升高时将(B)。(X 轴为电压) A.右移B.左移C.上移D.下移 4.当外加偏置电压不变时,若工作温度升高,二极管的正向导通电流将(A)。 A.增大B.减小C.不变D.不确定 5.三极管β值是反映(B )能力的参数。(三极管可改为电流控制电流源) A.电压控制电压B.电流控制电流C.电压控制电流D.电流控制电压 6.温度升高时,三极管的β值将(A )。 A.增大B.减少C.不变D.不能确定 7.下列选项中,不属三极管的参数是(B )。 A.电流放大系数B.最大整流电流 C.集电极最大允许电流D.集电极最大允许耗散功率 8.某放大电路中三极管的三个管脚的电位分别为V U6 1 =,V U4.5 2 =,V U12 3 =,则对应该管的管脚排列依次是(B)。 A.e, b, c B.b, e, c C.b, c, e D.c, b, e 9.晶体三极管的反向电流是由(B)运动形成的。 A.多数载流子B.少数载流子 C.扩散D.少数载流子和多数载流子共同 10.三极管工作在放大区,三个电极的电位分别是6V、12V和6.7V,则此三极管是(D)。(发正偏集反偏) A.PNP型硅管B.PNP型锗管C.NPN型锗管D.NPN型硅管 11.场效应管起放大作用时应工作在漏极特性的(B)。 A.非饱和区B.饱和区C.截止区D.击穿区12.增强型绝缘栅场效应管,当栅极g与源极s之间电压为零时(B)。 A.能够形成导电沟道B.不能形成导电沟道 C.漏极电流不为零D.漏极电压为零 三、填空题 1.在杂质半导体中,多数载流子的浓度主要取决于掺杂浓度。 2.少数载流子在内电场力作用下有规则的运动称为漂移。 3.PN结正偏导通,反偏截止,称为PN结的单向导电性性能。 4.PN结加正向电压时,空间电荷区将变窄。 5.PN结正向偏置时,PN结的内电场被削弱。 6.三极管最重要的特性是电流放大作用。 7.温度升高时,晶体管的反向饱和电流将增大。 8.场效应晶体管属于电压控制器件。 精选文档

电子工程师应该掌握的20个模拟电路

电子工程师应该掌握的20个模拟电路 对模拟电路的掌握分为三个层次。 初级层次是熟练记住这二十个电路,清楚这二十个电路的作用。只要是电子爱好者,只要是学习自动化、电子等电控类专业的人士都应该且能够记住这二十个基本模拟电路。 中级层次是能分析这二十个电路中的关键元器件的作用,每个元器件出现故障时电路的功能受到什么影响,测量时参数的变化规律,掌握对故障元器件的处理方法;定性分析电路信号的流向,相位变化;定性分析信号波形的变化过程;定性了解电路输入输出阻抗的大小,信号与阻抗的关系。有了这些电路知识,您极有可能成长为电子产品和工业控制设备的出色的维修维护技师。 高级层次是能定量计算这二十个电路的输入输出阻抗、输出信号与输入信号的比值、电路中信号电流或电压与电路参数的关系、电路中信号的幅度与频率关系特性、相位与频率关系特性、电路中元器件参数的选择等。达到高级层次后,只要您愿意,受人尊敬的高薪职业--电子产品和工业控制设备的开发设计工程师将是您的首选职业。 一、桥式整流电路 1、二极管的单向导电性: 伏安特性曲线: 理想开关模型和恒压降模型:2、桥式整流电流流向过程: 输入输出波形: 3、计算:V o, Io,二极管反向电压。二、电源滤波器 1、电源滤波的过程分析: 波形形成过程: 2、计算:滤波电容的容量和耐压值选择。 三、信号滤波器 1、信号滤波器的作用: 与电源滤波器的区别和相同点: 2、LC串联和并联电路的阻抗计算,幅频关

系和相频关系曲线。 3、画出通频带曲线。 计算谐振频率。 四、微分和积分电路 1、电路的作用,与滤波器的区别和相同点。 2、微分和积分电路电压变化过程分析,画出电压变化波形图。 3、计算:时间常数,电压变化方程,电阻和电容参数的选择。 五、共射极放大电路 1、三极管的结构、三极管各极电流关系、特性曲线、放大条件。 2、元器件的作用、电路的用途、电压放大倍数、输入和输出的信号电压相位关系、交流和直流等效电路图。 3、静态工作点的计算、电压放大倍数的计算。 六、分压偏置式共射极放大电路 1、元器件的作用、电路的用途、电压放大倍数、输入和输出的信号电压相位关系、交流和直流等效电路图。 2、电流串联负反馈过程的分析,负反馈对电路参数的影响。 3、静态工作点的计算、电压放大倍数的计算。 4、受控源等效电路分析。

模拟电路期末考试题A卷

模拟电路试题B卷 一.(24分) 1)射极输出器的特性归纳为:电压增益,电压跟随性好,输入阻抗,输出阻抗,而且具有一定的放大能力和功率放大能力,射极输出器的反馈类型是。 2)电压负反馈可以使放大器的输出稳定,电流负反馈可以使放大器的输出稳定。 3)在差分放大电路中,大小相等、极性或相位一致的两个输入信号称为信号;大小相等,极性 或相位相反的两个输入信号称为信号。 4)在导体中导电的是,在半导体中导电的不仅有,而且有,这是半导体区别于导 体导电的重要特征。 5)PN结正向偏置时,反向偏置时,这种特性称为PN结的。 6)晶体三极管有两个PN结,即结和结,在放大电路中结必须正偏, 结必须反偏。 7)晶体三极管有型和型两种类型。 8)画放大器的直流通路时,将视为开路,画交流通路时,将和视为短;.

路。 二.(1.9分,2.9分,3.6分,共24分) 1.放大电路如图所示,T为锗NPN管. (1)设V cc=12V,R c=3kΩ,β=70,如果要将静态工作点电流I c调至1.5mA,问R b要取多大? (2)电路参数同上,如果要将静态工作点的电压V CE调至3.3V,问R b应多大? (3)在调整静态工作点时,如稍不小心把R b调至零,这时三极管是否会损坏,为什么?为避免损坏,电路上可 采取什么措施? 得分 ;.

2.已知电路参数如图所示,R g1=300kΩ,R g2=100kΩ,R g3=2MΩ,R d=10kΩ,R2=10kΩ,+V DD=+20V,场效应 管工作点的互导g m=1ms,设r d>>R d (1)画出小信号等效电路; (2)求电压增益A v; (3)求放大器的输入电阻R i 3.下面电路其输入,输出波形如图所示 试问: a)此电路产生何种类型失真? (饱和?截止?) b)为消除此失真,应如何调节电阻R b? ;.

工程师应该掌握的20个模拟电路(详细分析与参考答案)

一、桥式整流电路 1二极管的单向导电性:二极管的PN结加正向电压,处于导通状态;加反向电压,处于截止状态。 伏安特性曲线; 理想开关模型和恒压降模型: 理想模型指的是在二极管正向偏置时,其管压降为0,而当其反向偏置时,认为它的电阻为无穷大,电流为零.就是截止。恒压降模型是说当二极管导通以后,其管压降为恒定值,硅管为0.7V,锗管0.5 V 2桥式整流电流流向过程: 当u 2是正半周期时,二极管Vd1和Vd2导通;而夺极管Vd3和Vd4截止,负载R L 是的电流是自上而下流过负载,负载上得到了与u 2正半周期相同的电压;在u 2的负半周,u 2的实际极性是下正上负,二极管Vd3和Vd4导通而Vd1和Vd2 截止,负载R L 上的电流仍是自上而下流过负载,负载上得到了与u 2正半周期相同的电压。 3计算:Vo,Io,二极管反向电压 Uo=0.9U 2, Io=0.9U 2 /R L ,U RM =√2 U 2 二.电源滤波器

1电源滤波的过程分析:电源滤波是在负载R L 两端并联一只较大容量的电容器。由于电容两端电压不能突变,因而负载两端的电压也不会突变,使输出电压得以平滑,达到滤波的目的。 波形形成过程:输出端接负载R L 时,当电源供电时,向负载提供电流的同时也 向电容C充电,充电时间常数为τ 充=(Ri∥R L C)≈RiC,一般Ri〈〈R L, 忽略Ri压 降的影响,电容上电压将随u 2迅速上升,当ωt=ωt 1 时,有u 2=u 0,此后u 2 低于u 0,所有二极管截止,这时电容C通过R L 放电,放电时间常数为R L C,放 电时间慢,u 0变化平缓。当ωt=ωt 2时,u 2=u 0, ωt 2 后u 2又变化到比u 0 大,又开始充电过程,u 0迅速上升。ωt=ωt 3时有u 2=u 0,ωt 3 后,电容通 过R L 放电。如此反复,周期性充放电。由于电容C的储能作用,R L 上的电压波动 大大减小了。电容滤波适合于电流变化不大的场合。LC滤波电路适用于电流较大,要求电压脉动较小的场合。 2计算:滤波电容的容量和耐压值选择 电容滤波整流电路输出电压Uo在√2U 2~0.9U 2 之间,输出电压的平均值取决于 放电时间常数的大小。 电容容量R L C≧(3~5)T/2其中T为交流电源电压的周期。实际中,经常进一步 近似为Uo≈1.2U 2整流管的最大反向峰值电压U RM =√2U 2 ,每个二极管的平均电 流是负载电流的一半。 三.信号滤波器

模拟电子技术期末试题及答案

《模拟电子期末练习题》应用电子2班张昌文 《模拟电子技术》模拟试题一 填空题:(每空1分共40分) 1、PN结正偏时(导通),反偏时(截止),所以PN结具有(单向)导电性。 2、漂移电流是()电流,它由()载流子形成,其大小与()有关,而与外加电压()。 3、所谓理想二极管,就是当其正偏时,结电阻为(零),等效成一条直线;当其反偏时,结电阻为(无穷大),等效成断开; 4、三极管是(电流)控制元件,场效应管是(电压)控制元件。 5、三极管具有放大作用外部电压条件是发射结(正偏),集电结(反偏)。 6、当温度升高时,晶体三极管集电极电流Ic(变大),发射结压降(变小)。 7、三极管放大电路共有三种组态分别是(共)、()、()放大电路。 8、为了稳定三极管放大电路的静态工作点,采用()负反馈,为了稳定交流输出电流采用()负反馈。 9、负反馈放大电路和放大倍数AF=(),对于深度负反馈放大电路的放大倍数AF=()。 10、带有负反馈放大电路的频带宽度BWF=()BW,其中BW=(),()称为反馈深度。 11、差分放大电路输入端加上大小相等、极性相同的两个信号,称为()信号,而加上大小相等、极性相反的两个信号,称 为()信号。 12、为了消除乙类互补功率放大器输出波形的(交越)失真,而采用(甲乙类)类互补功率放大器。 13、OCL电路是(双)电源互补功率放大电路;OTL电路是(单)电源互补功率放大电路。 14、共集电极电路电压放大倍数(1),输入电阻(大),输出电阻(小),常用在输入级,输出级或缓冲级。 15、差分放大电路能够抑制(零点)漂移,也称(温度)漂移,所以它广泛应用于(集成)电路中。 16、用待传输的低频信号去改变高频信号的幅度称(调幅),未被调制的高频信号是运载信息的工具称(载波信号)。 17、模拟乘法器输出与输入的关系式是U0=(KU X U Y ),电路符号是()。 二、选择题 1、稳压二极管是一个可逆击穿二极管,稳压时工作在(B)状态,但其两端电压必须(C),它的稳压值Uz才有 导通电流,否则处于(F )状态。 A、正偏 B、反偏 C、大于 D、小于 E、导通 F、截止 2、用直流电压表测得放大电路中某三极管各极电位分别是2V、6V、2.7V,则三个电极分别是(C),该管是(D)型。 A、( B、 C、E)B、(C、B、E)C、(E、C、B) D、(NPN) E、(PNP) 3、对功率放大器的要求主要是(B)、(D)、(E)。A、U0高 B、P0大 C、功率大 D、Ri大 E、波形不失真 4、共射极放大电路的交流输出波形上半周失真时为(b ),此时应该( e )偏置电阻。 A、饱和失真 B、截止失真 C、交越失真 D、增大 E、减小 5、差分放大电路是为了(C)而设置的。A、稳定Au B、放大信号C、抑制零点漂移 6、共集电极放大电路的负反馈组态是(A )。A、压串负B、流串负C、压并负 7、差分放大电路RE上的直流电流IEQ近似等于单管集电极电流ICQ(B )倍。A、1 B、2 C、3 8、为了使放大器带负载能力强,一般引入(A )负反馈。A、电压B、电流C、串联

模拟电路试卷及答案

模拟综合试卷一 一.填充题 1.集成运算放大器反相输入端可视为虚地的条件是a ,b 。2.通用运算放大器的输入级一般均采用察动放大器,其目的是a ,b 。3.在晶体三极管参数相同,工作点电流相同条件下,共基极放大电路的输入电阻比共射放大电路的输入电阻。 4.一个NPN晶体三极管单级放大器,在测试时出现顶部失真,这是失真。 5.工作于甲类的放大器是指导通角等于,乙类放大电路的导通角等于,工作于甲乙类时,导通角为。 6.甲类功率输出级电路的缺点是,乙类功率输出级的缺点是故一般功率输出级应工作于状态。 7.若双端输入,双端输出理想差动放大电路,两个输入电压u i1=u i2 ,则输出电压为 V; 若u i1=1500μV, u i2 =500μV,则差模输入电压u id 为μV,共模输入信号u ic 为μV。 8.由集成运放构成的反相比例放大电路的输入电阻较同相比例放大电路的输入电阻较。 9.晶体三极管放大器的电压放大倍数在频率升高时下降,主要是因为的影响。 10.在共射、共集、共基三种组态的放大电路中,组态电流增益最;组态电压增益最小;组态功率增益最高;组态输出端长上承受最高反向电压。频带最宽的是组态。 二.选择题 1.晶体管参数受温度影响较大,当温度升高时,晶体管的β,I CBO,u BE 的变化情况为()。 A.β增加,I CBO,和 u BE 减小 B. β和I CBO 增加,u BE 减小 C.β和u BE 减小,I CBO 增加 D. β、I CBO 和u BE 都增加 2.反映场效应管放大能力的一个重要参数是() A. 输入电阻 B. 输出电阻 C. 击穿电压 D. 跨导3.双端输出的差分放大电路主要()来抑制零点飘移。 A. 通过增加一级放大 B. 利用两个

模拟电子线路期末试题和答案(两套)

《模拟电子技术基础(一)》期末试题〔A 〕 一、填空题(15分) 1.由PN 结构成的半导体二极管具有的主要特性是 性。 2、双极性晶体三极管工作于放大模式的外部条件是 。 3.从信号的传输途径看,集成运放由 、 、 、 这几个部分组成。 4.某放大器的下限角频率L ω,上限角频率H ω,则带宽为 Hz 。 5.共发射极电路中采用恒流源做有源负载是利用其 的特点以获得较高增益。 6.在RC 桥式正弦波振荡电路中,当满足相位起振条件时,则其中电压放大电路的放大 倍数要略大于 才能起振。 7.电压比较器工作时,在输入电压从足够低逐渐增大到足够高的过程中,单限比较器的 输出状态发生 次跃变,迟滞比较器的输出状态发生 次跃变。 8.直流稳压电源的主要组成部分是 、 、 、 。 二、单项选择题(15分) 1.当温度升高时,二极管反向饱和电流将 。 [ ] A 增大 B 减小 C 不变 D 等于零 2.场效应管起放大作用时应工作在漏极特性的 。 [ ] A 非饱和区 B 饱和区 C 截止区 D 击穿区 3.直接耦合放大电路存在零点漂移的原因主要是 。 [ ] A 电阻阻值有误差 B 晶体管参数的分散性 C 晶体管参数受温度影响 D 受输入信号变化的影响 4.差动放大电路的主要特点是 。 [ ] A 有效放大差模信号,有力抑制共模信号;B 既放大差模信号,又放大共模信号 C 有效放大共模信号,有力抑制差模信号; D 既抑制差模信号,又抑制共模信号。 5.互补输出级采用射极输出方式是为了使 。 [ ] A 电压放大倍数高 B 输出电流小 C 输出电阻增大 D 带负载能力强 6.集成运放电路采用直接耦合方式是因为 。 [ ] A 可获得较高增益 B 可使温漂变小 C 在集成工艺中难于制造大电容 D 可以增大输入电阻 7.放大电路在高频信号作用下放大倍数下降的原因是 。 [ ] A 耦合电容和旁路电容的影响 B 晶体管极间电容和分布电容的影响 C 晶体管的非线性特性 D 放大电路的静态工作点设置不合适 8.当信号频率等于放大电路的L f 和H f 时,放大倍数的数值将下降到中频时的 。 A 0.5倍 B 0.7倍 C 0.9倍 D 1.2倍 [ ] 9.在输入量不变的情况下,若引入反馈后 ,则说明引入的是负反馈。[ ] A 输入电阻增大 B 输出量增大 C 净输入量增大 D 净输入量减小

相关文档
相关文档 最新文档