文档库 最新最全的文档下载
当前位置:文档库 › 2010-2011-1数字电子技术试卷A

2010-2011-1数字电子技术试卷A

江苏财经职业技术学院2010-2011学年度第一学期

《电子技术与技能训练》期末试卷(A )

出卷人:王华东 校对人:张蓉蓉 考试时间:100分钟

使用班级:09电信、09电气、09电子

一、判断题(每题1分,共10分)

1. 门电路输出端可以直接接地或电源。 ( )

2. TTL 门电路多余输入端可以并联使用或悬空。 ( )

3. 与相同逻辑功能的TTL 相比,COM 集成电路具有功耗低的优点。 ( )

4. 任何逻辑函数都可以写成最小项表达式,但一个逻辑函数的最小项表达式不是唯一的。 ( )

5. 和普通编码器相比,优先编码器一次只能输入一个信号。 ( )

6. 集成3-8线译码器74LS138可作为数据分配器使用。 ( )

7. 用一个4选1数据选择器可实现任意3变量的逻辑函数。 ( )

8. 同步RS 触发器使用中要避免R 、S 同时为高电平,可用约束条件表示为RS =0。 ( )

9. 组合逻辑电路是一种具有“记忆”功能的电路。 ( ) 10. 一般说来,异步时序逻辑电路比同步时序逻辑电路复杂,但速度快。 ( ) 二、单项选择题(每题2分,共20分) 1. 与十进制数(18.5)10等值的数或代码为( )

A. (25.4)8

B. (10001.1)2

C. (12.8)16

D. (1 1000.101)8421BCD 2. 在逻辑函数F(A,B,C)中,下面哪个是最小项?( ) A. AB B. C B A ++ C. C B A D. BC A 3. 构成12进制计数器,至少需要( )个触发器。 A. 12 B. 6 C. 4 D. 3 4. 下列逻辑等式不成立的是( )

A. B A B A A +=+

B. 1=+A A 系部 班级 姓名 学号 密 封 线

C. ))((C A B A BC A ++=+

D. B A AB += 5. 反映门电路带负载能力的参数是( )

A. 高电平输出电流

B. 扇出系数

C. 最大工作频率

D. 空载功耗 6. 下列哪种门电路使用时输出端要接上拉电阻到电源?( ) A. 普通异或门 B. 三态门 C. OC 门 D.施密特触发器 7. 能和数据选择器配合实现多路数据分时传输的是( )。

A. 编码器

B. 译码器

C. 数据分配器

D. 移位寄存器

8. 音频信号频率范围是20Hz~20KHz ,要将其转换为数字信号,根据取样定理,取样频率应不小于( )

A. 20Hz

B. 10KHz

C. 20KHz

D. 40KHz

9. TTL 与非门输入端通过电阻R 接地,则该输入端逻辑值为( ) A. 1 B. 0 C.与R 值有关 D.高阻态 10. CMOS 门多余输入端不可以( )。

A. 接地

B. 悬空

C.接高电平

D.与其余输入端并接 三、填空题(每空1分,共20分)

1. 数制及编码转换: (2B)16=( )10=( )2=( )8421BCD

2. 数制及编码转换: 1011010.1B = D = H

3. 在逻辑代数中,只能 和 两种逻辑值,有 、 、 三种基本逻辑运算。

4. 三极管作为开关器件, 时C 、E 极间相当于开关的断开状态, 时C 、E 极间相当于开关的接通状态。

5. 七段共阴极显示译码器,输入8421BCD 码0010时,输出7位字形码为abcdefg= ,可使LED 数码管显示字形“2”;要显示字形“6”,应输入8421BCD 码 ,输出7位字形码为abcdefg= 。

6. 具有接收、储存和清除原有数码功能的时序逻辑电路称为 ,能累计输入脉冲个数的时序部件叫 。

7. D /A 转换器是指将 量转换成 量的电路。

8. 逐次渐近型和双积分型两种模/数转换器,通常哪种转换精度高、抗干扰能力强? 。

四、将下列逻辑函数化为最简与或表达式:(本题10分) 1. C B A BC A C AB ABC F +++=

2. )13,3()14,9,8,1,0(),,,(d m D C B A F ∑+∑=

五、判断下图中各TTL 门电路接法是否正确,若不正确,请纠正。(本题10分)

六、三位二进制数ABC ,其对应的十进制数小于2或大于5时,输出为1,否则输出为0。用与非门实现该逻辑电路,要求画出逻辑图。(本题10分)

=AB

A B

=AB

U

七、如图JK 触发器初始状态0 Q ,写出JK 触发器的特征方程,填写真值表,并画出Q 的波形。(本题10分)

八、已知4位同步二进制集成计数器74161功能表,画出图示电路的状态转换图,并说明是几进制计数器。(本题10分)

CP J K Q

1

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

电子技术基础试卷1

电子上期期末考试试卷 考号姓名 一、填空题(30空×1分=30分) 1、在N型半导体中是多子,在P型半导体中是少子。 2、PN结加正向电压时,加反向电压时,这种特性称为PN结的特性。 3、三极管的极限参数有:____________、____________和____________。 4、加在二极管两端的反向电压超过U(BR)时,二极管将被。流过二极管的正向电流长时间超过I FM时,二极管将被。 5、三极管的发射极电流、集电极电流和基极电流关系为。 6、NPN三极管三个电极的电位关系是。 7、三极管输出特性曲线可以分为区、区和________________区。 8、放大器的放大倍数有____________、__________和____________。 9、放大器必须设置,能避免产生非线性失真。 10、二极管特性:________________性,它的符号________。 11、三极管有________、________、________三个电极,________和 ________两个PN结。 12、基本共发射放大电路又称为____________,其缺点是____________, 它的输出电压与输入电压相位____ ______,因此这种放大电路又称为____________。 二、选择(将正确的答案填入对应的表格中10×2分=20分) 1、在N型半导体中()。 A、只有自由电子 B、只有空穴 C、有空穴也有自由电子 2、下列说法错误的是()。 A、I E =I B +I C适合各类三极管 B、I C =βI B适合各类三极管 C所有三极管放大都要满足发射结正偏,集电结反偏

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

模拟电子技术考试试卷1

《模拟电子技术》考试试卷1 一、填空题(20分,每题2分) 1、 半导体三极管按极性可分为_____型和_____型二类。 2、 半波整流电路中,二极管承受的最大反向电压U RM =_______U 2, 负载电压U O =_________U 2。 3、如果温度升高三极管的输出特性曲线将向________移动。 4、抑制零点飘移的电路称为___________________________.。 5、乙类互补对称功率放大电路将产生__________失真, 而________互补对称功率放大电路,可以消除这种失真。 6、C W79XX 系列三端稳压器各脚功能是:①脚________; ②脚________;③脚________。 7、当信号发生器电压调在1V ,现在用40dB 衰减其输出电压为______mV 。 8、当示波器幅度旋钮位置V/div 在0.5V 时读数为4格, 其电压的有效值为______V 。 9、当示波器频率旋钮位置T/div 在0.5ms 时读数为2格, 其周期为______Hz 。 10、要抑制50Hz 的工频信号的影响,应选择________ 滤波电路。 二、判断题(20分,每题2分,对的打√错的打╳) 1、 稳压二极管必需工作在正向导通状态。 ( ) 2、 在集成电路中采用直接耦合。 ( ) 3、 石英晶体振荡电路的优点是其振荡频率的稳定性很高。 ( ) 4、 尽管开关稳压电源的体积小、稳压范围宽但它的功率消耗很大。( ) 5、 在正弦波振荡电路中只能引入正反馈,不允许出现负反馈。 ( ) 6、 射极跟随器的放大倍数为1因此在电路不起任何作用。 ( ) 7、 在多级放大电路中前级放大的工作点宜设置在较低位置。 ( ) 8、 硅稳压管稳压电路只适用于负载小的场合且输出电压不能调节。( ) 9、 跟据集成运算放大器电路虚断原则得到i +=i - 。 ( ) 10、判断正负反馈时,我们采用瞬时极性法, ( ) 当使净输入信号增强时即为负反馈。 三、简答题(25分,每题5分) 1、 电路如下图所示,二极管是导通还是截止,R=10K ,试求出AO 两点间的电压U AO ? (设二极管的正向压降是0.7V ) A O 8V D 2、 如下图所示,稳压管D 1、D 2的稳定电压分别为8V 、6V ,设稳压管的正向压降是0.7V , 试求U O 。

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

电子技术试卷1

电子技术试卷1 一、单项选择题:在下列各题中将唯一正确的答案代码填入括号内 (共30分,每小题2分) 1.在P 型半导体中,多数载流子是( )。 (a) 正离子 (b) 自由电子 (c) 空穴 2. 在图示1-1电路中,二极管的工作状态为( )。 (a) D 1、D 2均导通 (b) D 1导通、D 2截止 (c) D 1截止、D 2导通 3. 某N 沟道场效应晶体管,测得U GS =0,漏极电流I D =0,则该管的类型为( )。 (a) 耗尽型管 (b) 增强型管 (c) 无法确定 4.放大电路如图1-2所示,V CC =12V ,静态时U CE =4V , R C =4k Ω,当逐渐加大输入信号u i 时,输出信号u o 首先出现( )。 (a) 截止失真 (b) 饱和失真 (c) 截止和饱和失真 5. 射极输出器的主要特点是( )。 (a) 输入电阻高,输出电阻低,电压放大倍数接近于+1 (b) 输入电阻高,输出电阻高,电压放大倍数接近于-1 (c) 输入电阻低,输出电阻低,电压放大倍数接近于+1 6. 在下列运算放大器的各种应用电路中,运算放大器工作在非线性状态的电路是( )。 (a) 反向比例放大器 (b) 有源滤波器 (c) 电压比较器 7. 已知某个逻辑门电路输入A 、B 和输出F 的波形 如图1-3所示,则该逻辑门为( )。 (a) 同或门 (b) 或门 (c) 异或门 8. 逻辑函数表达式ABC BC A C B A F ++= 的最简与 或表达式为( )。 (a) BC BC A F += (b) AC C B F += (c) BC AC F += ?1-1 A B F 图1-3 ?1-2

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数字电子技术基础试题及答案(1)

5.某地址译码电路如图2所示,当输入地址变量 A7-A0的状态分别为什么状态 时,丫1、丫6分别才为低电平(被译中) 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 ________________ 和 _______________ 两分组成。 2. 十进制数(56) 10转换为二进制数为 ____________ 和十六进制数为 __________ 3. 串行进位加法器的缺点是 _________ ,想速度高时应采用 ____________ 加法器< 4. 多谐振荡器是一种波形 _________ 电路,它没有稳态,只有两个 ______________ 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M ________________ 二、化简、证明、分析综合题: 1. 写出函数F (A,B,C,D) = A B C D E 的反函数 2. 证明逻辑函数式相等: BC D D(B C)(AD B) B D 3. 已知逻辑函数 F= E( 3,5,8,9 , 10, 12) +E d(0,1,2) (1) 化简该函数为最简与或式: (2) 画出用两级与非门实现的最简与或式电路图: 4. 555定时器构成的多谐振动器图1所示,已知R 1=1K Q , R 2=8.2K Q , C=0.1卩F 试求脉冲宽度T ,振荡频率f 和占空比q 。 ) 级 班 ( 业 专 M As As 扣 As

6?触发器电路就输入信号的波形如图 3 所示,试分别写出D 触发器的Q 和Q1 的表达式,并画出其波形。 Q n+1= 7.已知电路如图4所示,试写出: ① 驱动方程; ② 状态方程; ③ 输出方程; ④ 状态表; ⑤ 电路功能。 二、设计题:(每10分,共20分) 1 ?设计一个三变量偶检验逻辑电路。当三变量 A B C 输入组合中的“ T 的 个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图 2 .试用74161、3-8译码器和少量门电路,实现图 5所示波形V01 VO2 其中CP 为输入波形。要求: 1 A Rd O E 營 _TLnJTTLTL D= J - Q i =

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

电工电子技术(一)试卷A答案

1.已知图中U 1=2V,U 2 =-8V,则U AB =-10 。 2.电路的三种工作状态是通路、断路、短路。 3.有三个6Ω的电阻,若把它们串联,等效电阻是18 Ω;若把它们并联,等效电阻2Ω;若两个并联后再与第三个串联,等效电阻是9 Ω。 4.用电流表测量电流时,应把电流表串联在被测电路中;用电压表测量电压时,应把电压表与被测电路并联。 5.电路中任意一个闭合路径称为回路;三条或三条以上支路的交点称为节点。 6.电路如图所示,设U=12V、I=2A、R=6Ω,则U AB = -24 V。 7.直流电路如图所示,R 1所消耗的功率为2W,则R 2 的阻值应为 2 Ω。 8.电路中电位的参考点发生变化后,其他各点的电位均发生变化。9.在直流电路中,电感可以看作短路,电容可以看作断路。10.我国工业交流电采用的标准频率是50 Hz。 11.三相对称负载作三角形联接时,线电流I L 与相电流I P 间的关系是:I P =3I L 。 12.电阻元件是耗能元件,电容元件是储能元件。

1.理想电流源输出恒定的电流,其输出端电压由内电阻决定。 (错) 2.因为正弦量可以用相量来表示,所以说相量就是正弦量。 (错) 3.电压三角形是相量图,阻抗三角形也是相量图。 (错) 4. 中线的作用就是使不对称Y 接负载的端电压保持对称。 (对) 5.互感器既可用于交流电路又可用于直流电路。 (错) 6.自耦变压器由于原副边有电的联系,故不能作为安全变压器使用。(对) 7.电动机的额定功率是指电动机轴上输出的机械功率。 (对) 8.一个1/4W,100Ω的金属膜电阻,能够接在50V 电源上使用。 (错) 9.三相对称电路中,负载作星形联接时,P 3I I l 。 (错) 10.电阻、电流和电压都是电路中的基本物理量。 (错) 三、选择题(每题1分,共20分) 1.电位和电压相同之处是( C )。 A .定义相同 B .方向一致 C .单位相同 D .都与参考点有关 2.两个阻值相同的电阻器串联后的等效电阻与并联后的等效电阻之比是( A ) A .4:1 B .1:4 C .1:2 D .2:1 3.有一段16Ω的导线,把它们对折起来作为一条导线用,其电阻是( C )。 A .8Ω B .16Ω C .4Ω D .32Ω 4.电路中两点间的电压高,则( B )。 A .两点的电位高 B .两点间的电位差大 C .两点的电位一定为正 D .两点的电位一定为负 5.一个由线性电阻构成的电器,从220V 的电源吸取1000W 的功率,若将此电器接到

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 …… …… … … …… …密 … … …… … … … … 封 …… … … … … … … … … 装 … … … … … … … 订 … … … … … … … … … 线 … … … … … … … … … 学院 专业 (班级) 姓名 学号 …… … … … … 线 …

6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。 图 D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 A B C F

数字电子技术试卷及答案(1)

一、单项选择题(每小题1分,共15分) 在下列每小题的四个备选答案中选出一个正确的答案,并将其字母标号填入题干的括号内。 1.一位十六进制数可以用多少位二进制数来表示?( C ) A . 1 B . 2 C . 4 D . 16 2.以下电路中常用于总线应用的是( A ) A.T S L 门 B.O C 门 C. 漏极开路门 D.C M O S 与非门 3.以下表达式中符合逻辑运算法则的是( D ) A.C ·C =C 2 B.1+1=10 C.0<1 D.A +1=1 4.T 触发器的功能是( D ) A . 翻转、置“0” B. 保持、置“1” C. 置“1”、置“0” D. 翻转、保持 5. 存储8位二进制信息要多少个触发器(D ) A.2 B.3 C.4 D.8 6.多谐振荡器可产生的波形是( B ) A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波 7.一个16选一的数据选择器,其地址输入(选择控制输入)端的个 数是( C ) A.1 B.2 C.4 D.16 8.引起组合逻辑电路中竟争与冒险的原因是( C ) A.逻辑关系错; B.干扰信号; C.电路延时; D.电源不稳定。 9.同步计数器和异步计数器比较,同步计数器的最显著优点是( A ) A.工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟C P 控制 10.N 个触发器可以构成能寄存多少位二进制数码的寄存器?( B ) A.N -1 B.N C.N +1 D.2N 11.若用J K 触发器来实现特性方程AB Q A Q n 1n +=+,则J K 端的方程应为 ( B )

A.J =A B ,K =B A B.J =A B ,K =B A C.J =B A +,K =A B D.J =B A ,K =A B 12.一个无符号10位数字输入的DA C ,其输出电平的级数是( C ) A.4 B.10 C.1024 D.100 13.要构成容量为4K ×8的RAM ,需要多少片容量为256×4的RAM ?( D ) A.2 B.4 C.8 D.32 14.随机存取存储器R A M 中的内容,当电源断掉后又接通,则存储器 中的内容将如何变换?( C ) A.全部改变 B.全部为1 C.不确定 D.保持不变 15.用555定时器构成单稳态触发器,其输出的脉宽为( B ) A.0.7RC ; B.1.1RC ; C.1.4RC ; D.1.8RC ; 二、多项选择题(每小题1分,共5分) 在下列每小题的四个备选答案中有二至四个正确答案,请将正确答案全部选出并将其字母标号填入题干的括号内;少选错选都不得分。 16.以下代码中,为无权码的是( C )( D )( )( ) A . 8421BCD 码 B . 5421BCD 码 C . 余三码 D . 格雷码 17.当三态门输出高阻状态时,以下说法正确的是( A )( B )( )( ) A.用电压表测量指针不动 B.相当于悬空 C.电压不高不低 D.测量电阻指针不动 18.已知F=A B +BD+CDE+A D ,下列结果正确的是哪几个?( A )( C )( )( ) A.F =D B A + B.F =D B A )(+ C.F =))((D B D A ++ D.F =))((D B D A ++ 19.欲使J K 触发器按Q n +1=Q n 工作,可使J K 触发器的输入端为以下哪几种情况?( A )( B )( D )( ) A.J =K =0 B.J =Q,K =Q C.J =Q ,K =Q D.J =Q,K =0

数字电子技术基础—试题—填空

一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( 11110.01 ) 2 = ( 1E.4 ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = (1)。 3 . 三态门输出的三种状态分别为:高电平、低电平和高阻态。 4 . 主从型JK 触发器的特性方程 = 。 5 . 用4个触发器可以存储4位二进制数。 6 . 存储容量为4K×8位的RAM 存储器,其地址线为12条、数据线为 8条。 1.八进制数 (34.2 ) 8 的等值二进制数为(11100.01 ) 2 ; 十进制数 98 的 8421BCD 码 为( 10011000 ) 8421BCD 。 2 . TTL 与非门的多余输入端悬空时,相当于输入 高电平。 3 .图15所示电路 中 的最简逻辑表达式为AB 。 图 15 4. 一个 JK 触发器有 两 个稳态,它可存储 一 位二进制数。 5. 若将一个正弦波电压信号转换成同一频率的矩形波,应采用 多谐振荡器 电路。 6. 常用逻辑门电路的真值表如表1所示,则 F 1 、 F 2 、 F 3 分别属于何种常用逻辑门。 A B F 1 F 2 F 3 0 0 1 1 0 0 1 0 1 1 1 0 0 1 1 1 1 1 0 1 表 1 F 1 ;F 2 ;F 3 分别为:同或 , 与非门 , 或门 1.(11011)2 =(__27__)10 2.8421BCD 码的1000相当于十进制的数值 8 。 3.格雷码特点是任意两个相邻的代码中有__一__位二进制数位不同。 4.逻辑函数的反演规则指出,对于任意一个函数F ,如果将式中所有的__与或运算__互换,_原变量___互换,__反变量__互换,就得到F 的反函数 F 。 5.二极管的单向导电性是外加正向电压时 导通 ,外加反向电压时 截止 。 6.晶体三极管作开关应用时一般工作在输出特性曲线的 饱和 区和 截止 区。 7.TTL 三态门的输出有三种状态:高电平、低电平和 高阻 状态。 8. 集 电极开路门的英文缩写为 OC 门,工作时必须外加 上拉电阻 和 电源 。 9.一个2线-4线译码器,其输入端的数目与输出端数目相比较,后者较 多 。 10. 输出n 位代码的二进制编码器,一般有 __2n ____个输入信号端。 11.全加器是指能实现两个加数和___(低位)进位信号____三数相加的算术运算逻辑电路。 12.时序逻辑电路的输出不仅与 当前输入状态 有关,而且与 输出的原始状态 有关。 13.与非门构成的基本RS 锁存器的特征方程是 S+ n Q R ,约束条件是 RS=0 。

相关文档
相关文档 最新文档