文档库 最新最全的文档下载
当前位置:文档库 › 电力电子技术实验教案

电力电子技术实验教案

电力电子技术实验教案
电力电子技术实验教案

课程教案

课程名称:电力电子技术实验

任课教师:张振飞

所属院部:电气与信息工程学院

教学班级:电气1501-1504班、自动化1501-1504自动化卓越1501

教学时间:2017-2018学年第一学期

湖南工学院

课程基本信息

实验一、SCR、GTO、MOSFET、GTR、IGBT特性实验

一、本次课主要内容

1、晶闸管(SCR)特性实验。

2、可关断晶闸管(GTO)特性实验(选做)。

3、功率场效应管(MOSFET)特性实验。

4、大功率晶体管(GTR)特性实验(选做)。

5、绝缘双极性晶体管(IGBT)特性实验。

二、教学目的与要求

1、掌握各种电力电子器件的工作特性测试方法。

2、掌握各器件对触发信号的要求。

三、教学重点难点

1、重点是掌握各种电力电子器件的工作特性测试方法。

2、难点是各器件对触发信号的要求。

四、教学方法和手段

课堂讲授、提问、讨论、演示、实际操作等。

五、作业与习题布置

撰写实验报告

一、实验目的

1、掌握各种电力电子器件的工作特性。

2、掌握各器件对触发信号的要求。

二、实验所需挂件及附件

“开关”。

3 DJK07 新器件特性实验

4 DJK09 单相调压与可调

负载

5 万用表自备

三、实验线路及原理

将电力电子器件(包括SCR、GTO、MOSFET、GTR、IGBT五种)和负载电阻R串联后接至直流电源的两端,由DJK06上的给定为新器件提供触发电压信号,给定电压从零开始调节,直至器件触发导通,从而可测得在上述过程中器件的V/A特性;图中的电阻R用DJK09 上的可调电阻负载,将两个90Ω的电阻接成串联形式,最大可通过电流为1.3A;直流电压和电流表可从DJK01电源控制屏上获得,五种电力电子器件均在DJK07挂箱上;直流电源从电源控制屏的输出接DJK09上的单相调压器,然后调压器输出接DJK09上整流及滤波电路,从而得到一个输出可以由调压器调节的直流电压源。

实验线路的具体接线如下图所示:

图1-1 新器件特性实验原理图

四、实验内容

1、晶闸管(SCR)特性实验。

2、可关断晶闸管(GTO)特性实验。

3、功率场效应管(MOSFET)特性实验。

4、大功率晶体管(GTR)特性实验。

5、绝缘双极性晶体管(IGBT)特性实验。

五、预习要求

阅读电力电子技术教材中有关电力电子器件的章节。

六、思考题

各种器件对触发脉冲要求的异同点?

七、实验方法

1、按图1-1接线,首先将晶闸管(SCR)接入主电路,在实验开始时,将DJK06上的给定电位器RP1沿逆时针旋到底,S1拨到“正给定”侧,S2拨到“给定”侧,单相调压器逆时针调到底,DJK09上的可调电阻调到阻值为最大的位置;打开DJK06的电源开关,按下控制屏上的“启动”按钮,然后缓慢调节调压器,同时监视电压表的读数,当直流电压

升到40V时,停止调节单相调压器(在以后的其他实验中,均不用调节);调节给定电位器RP1,逐步增加给定电压,监视电压表、电流表的读数,当电压表指示接近零(表示管子完全导通),停止调节,记录给定电压U g调节过程中回路电流I d以及器件的管压降U v。

2、按下控制屏的“停止”按钮,将晶闸管换成可关断晶闸管(GTO),重复上述步骤,并记录数据。

3、按下控制屏的“停止”按钮,换成功率场效应管(MOSFET),重复上述步骤,并记录数据。

4、按下控制屏的“停止”按钮,换成大功率晶体管(GTR),重复上述步骤,并记录数据。

5、按下控制屏的“停止”按钮,换成绝缘双极性晶体管(IGBT),重复上述步骤,并记录数据。

八、实验报告

根据得到的数据,绘出各器件的输出特性。

九、注意事项

1、为保证功率器件在实验过程中避免功率击穿,应保证管子的功率损耗(即功率器件的管压降与器件流过的电流乘积)小于8W。

2、为使GTR特性实验更典型,其电流控制在0.4A以下。

3、在本实验中,完成的是关于器件的伏安特性的实验项目,老师可以根据自己的实际需要调整实验项目,如可增加测量器件的导通时间等实验项目。

实验后记:

实验二正弦波同步移相触发电路实验

一、本次课主要内容

1、正弦波同步移相触发电路的调试。

2、正弦波同步移相触发电路中各点波形的观察。

二、教学目的与要求

1、熟悉正弦波同步移相触发电路的工作原理和各元件的作用。

2、掌握正弦波同步移相触发电路的调试步骤和方法。

三、教学重点难点

1、重点是正弦波同步移相触发电路的调试步骤和方法。

2、难点是正弦波同步移相触发电路的工作原理和各元件的作用。

四、教学方法和手段

课堂讲授、提问、讨论、演示、实际操作等。

五、作业与习题布置

撰写实验报告

一、实验目的

1、熟悉正弦波同步移相触发电路的工作原理和各元件的作用。

2、掌握正弦波同步移相触发电路的调试步骤和方法。

二、实验所需挂件及附件

三、实验线路及原理

正弦波同步移相触发电路的原理在1-3节已作介绍。电路分脉冲形成、同步移相、脉冲放大等几个环节,具体工作原理可参见电力电子技术教材的有关内容。

四、实验内容

1、正弦波同步移相触发电路的调试。

2、正弦波同步移相触发电路中各点波形的观察。

五、预习要求

1、阅读本教材1-3节及电力电子技术教材中有关正弦波同步移相触发电路的内容,弄清正弦波同步移相触发电路的工作原理。

2、掌握脉冲初始相位的调整方法。

六、思考题

1、正弦波同步移相触发电路由哪些主要环节组成?

2、正弦波同步移相触发电路的移相范围能否达到180°?

七、实验方法

1、将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为220V 10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察正弦波触发电路各观察点的电压波形,并与图2-1中各点波形相比较。

图2-1正弦波同步移相触发电路的各点电压波形(α=00)

2、确定脉冲的初始相位

当U ct=0时(将RP1电位器顺时针旋到底),调节U b(调RP2),使U1波形与图2-2中的U1波形相同,这时正好有脉冲输出,此时的α接近于180°。

3、保持RP2电位器不变,逆时针旋转RP1(即逐渐增大U ct),用示波器观察同步电压信号及输出脉冲“5”点的波形,注意U ct增加时脉冲的移动情况,并估计移相范围。

4、调节U ct(调RP1),使α=60°,观察并记录面板上观察点“1”~“5”及输出脉冲“G1”、“K1”的电压波形及其幅值。调节RP3,观测“5”点脉冲宽度的变化。

八、实验报告

1、画出α=60°时,观察点“1”~“5”及输出脉冲电压的波形。

2、指出U ct增加时,α应如何变化?移相范围大约等于多少度?指出同步电压的哪一段为脉冲移相范围。

3、分析RP3对输出脉冲宽度的影响。

a)α<180° b)α接近于180°

图2-2 初始脉冲相位的确定

九、注意事项

1、参见本教材实验一的注意事项。

2、由于脉冲“G”、“K”输出端有电容影响,故观察输出脉冲电压波形时,需将输出端“G”和“K”分别接到晶闸管的门极和阴极(或者也可用约100Ω左右阻值的电阻接到“G”、“K”两端,来模拟晶闸管门极与阴极的阻值),否则,无法观察到正确的脉冲波形。

实验后记:

实验三、三相交流调压电路实验

一、本次课主要内容

1、三相交流调压器触发电路的调试。

2、三相交流调压电路带电阻性负载测试。

3、三相交流调压电路带电阻电感性负载测试(选做)。

二、教学目的与要求

1、了解三相交流调压触发电路的工作原理。

2、加深理解三相交流调压电路的工作原理。

3、了解三相交流调压电路带不同负载时的工作特性。

三、教学重点难点

1、重点是三相交流调压器触发电路的调试和三相交流调压电路带电阻性负载测试。

2、难点是三相交流调压触发电路的工作原理的理解。

四、教学方法和手段

课堂讲授、提问、讨论、演示、实际操作等。

五、作业与习题布置

撰写实验报告

一、实验目的

1、了解三相交流调压触发电路的工作原理。

2、加深理解三相交流调压电路的工作原理。

3、了解三相交流调压电路带不同负载时的工作特性。

二、实验所需挂件及附件

三、实验线路及原理

交流调压器应采用宽脉冲或双窄脉冲进行触发。实验装置中使用双窄脉冲。实验线路如图3-1所示。

图中晶闸管均在DJK02上,用其正桥,将D42三相可调电阻接成三相负载,其所用的交流表均在DJK01控制屏的面板上。

四、实验内容

模拟电子技术教案

授课计划 授课时数: 2 授课教师:赵启学授课时间: 课题:半导体二极管 教学目的: 1、理解PN结及其单向导电性 2、了解半导体二极管的构成与类型 教学重点:1、PN结及其单向导电性2、二极管结的构成 教学难点:PN结及其单向导电性 教学类型:理论课 教学方法:讲授法、启发式教学 教学过程: 引入新课: 模拟电子技术基础是一门入门性质的技术基础课,没有哪一门课程像电子技术的发展可以用飞速发展,日新月异。从1947年,贝尔实验室制成第一只晶体管;1958年,集成电路;1969年,大规模集成电路;1975年,超大规模集成电路,一开始集成电路有4只晶体管,1997年,一片集成电路有40亿个晶体管。不管怎么变化,但是万变不离其宗,这门课我们所讲的就是这个“宗”。(10分钟) 讲授新课: 一:PN结(30分钟) 1、什么是半导体,什么是本证半导体?(10分钟) 半导体:导电性介于导体和绝缘体之间的物质 本征半导体:纯净(无杂质)的晶体结构(稳定结构)的半导体,所有半导体器件的基本材料。常见的四价元素硅和锗。

2、杂质半导体(20分钟) N型半导体:在本征半导体中参入微量5价元素,使自由电子浓度增大,成为多数载流子(多子),空穴成为少数载流子(少子)。如图(a) P型半导体:在本证半导体中参入微量3价元素,使空穴浓度增大,成为多子,电子成为少子,以空穴导电为主的杂志半导体称为P型半导体。如图(b) 3、PN结 P型与N型半导体之间交界面形成的薄层为PN结。 二:PN结的单项导电性(20分钟) PN结加正向电压时,可以有较大的正向扩散电流,即呈现低电阻,我们称PN 结导通;PN结加反向电压时,只有很小的反向漂移电流,呈现高电阻,我们称PN 结截止。这就是PN结的单向导电性。 1、正偏 加正向电压(正偏)——电源正极接P区,负极接N区 外电场的方向与内电场方向相反。 外电场削弱内电场→耗尽层变窄→扩散运动>>漂移运动→多子扩散形成正向电流(与外电场方向一致)I F

电力电子实验指导书(2013) 2

实验一三相桥式全控整流实验 一.实验目的 1.熟悉MCL-18, MCL-33组件。 2.熟悉三相桥式全控整流及有源逆变电路的接线及工作原理。 3.了解集成触发器的调整方法及各点波形。 二.实验内容 1.三相桥式全控整流电路 2.观察整流下或模拟电路故障现象时的波形。 三.实验线路及原理 实验线路下图所示。主电路由三相全控变流电路桥给直流电机供电。可实现直流电动机的调压调速。触发电路为数字集成电路,可输出经高频调制后的双窄脉冲链。 四.实验设备及仪器 1.MCL系列教学实验台主控制屏。 2.MCL—18组件(适合MCL—Ⅱ)或MCL—31组件(适合MCL—Ⅲ)。 3. 电机导轨及测速发电机(或光电编码器) 4.二踪示波器 5.万用表 五.实验方法 1.按图接线,未上主电源之前,检查晶闸管的脉冲是否正常。 (1)打开MCL-18电源开关,给定电压有电压显示。 (2)用示波器观察MCL-33的双脉冲观察孔,应有间隔均匀,相互间隔60o的幅度相同的双脉冲。 (3)检查相序,用示波器观察“1”,“2”单脉冲观察孔,“1”脉冲超前“2”脉冲600,则相序正确,否则,应调整输入电源。 (4)用示波器观察同步变压器电压和触发脉冲波形,观察移相控制过程并记录波形。其中一个探头接脉冲信号另一个接同步电压信号,两探头共15V地线。 U 注:将I组桥式触发脉冲的六个开关均拨到“接通”。GT和AP1已内部连线无需接线。将 blf 接地。 (5)将给定器输出Ug接至MCL-33面板的Uct端,调节偏移电压Ub,在Uct=0时,使 =150o。 2.三相桥式全控整流电路供电直流电动机调压调速实验 (1)按上图接线,UVW电源线按实验板指定颜色接入保存相序正确,经指导教师检查后方可送电。送电前注意将给定电位器逆时针转到底,保证给定为0V或负给定。 (2)送电顺序合上电源总开关后先送控制电源,再按启动按扭送主回路电源。停机时前将给定电压降至零,按先停主电源后停控制电源顺序停电。 (3)调节Uct,移相控制整流电压,缓慢升速,用示波器观察记录转速为400、800、1200转/分时,整流电压u d=f(t),晶闸管两端电压u VT=f(t)的波形,并记录相应的Ud和交流输入电压U2数值,计算相应的移相控制角数值。

电力电子技术实验

《电力电子技术》实验指导书 指导教师:王跃鹏李向丽 燕山大学电气工程学院 应用电子实验室 二零零四年七月

实验一 锯齿波同步移相触发电路实验 一、实验目的 1、加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 2、掌握锯齿波同步触发电路的调试方法。 二、实验内容 1、锯齿波同步触发电路的调试。 2、锯齿波同步触发电路各点波形观察、分析。 三、实验线路及原理 锯齿波同步移相触发电路主要由脉冲形成和放大、锯齿波形成、同步移相等环节组成。 四、实验设备及仪器 1、MCL-Ⅲ型交流调速系统实验台 2、MCL-32组件 3、MCL-31组件 4、MCL-05组件 5、双踪示波器 五、实验方法 1、将MCL-05面板上左上角的同步电压接入MCL-32的U 、V 端,并将MCL-31的“g U ”和“地”端分别接入MCL-05的“ct U ”和“7”端,“触发电路选择”拨向“锯齿波”。 2、合上主电路电源开关,并打开MCL-05面板右下角的电源开关,用示波器观察各观测孔的电压波形,示波器的地线接于“7”端。 同时观测“1”、“2”孔的波形,了解锯齿波宽度和“1”点波形的关系。 观察“3”~“5”孔波形,调节RP1,使3”的锯齿波刚出现平顶,记下各波形的幅值与宽度。 六、实验报告 整理,描绘实验中记录的各点波形。

实验二 单相桥式全控整流电路实验 一、实验目的 1、了解单相桥式全控整流电路的工作原理。 2、研究单相桥式全控整流电路在电阻负载、阻感负载时的工作特点。 二、实验内容 1、单相桥式全控整流电路供给电阻负载。 2、单相桥式全控整流电路供给阻感负载。 三、实验线路及原理 单相桥式全控整流电路的实验线路如图2-1所示,其工作原理可参见“《电力电子技术》(第四版,王兆安、黄俊编)”教材。 四、实验设备及仪器 1、MCL-Ⅲ型交流调速系统实验台 2、MCL-32组件 3、MCL-31组件 4、MCL-05组件 5、双踪示波器 五、实验方法 1、单相桥式全控整流电路供给电阻负载。 按照图2-1接线,接上电阻负载(采用MEL-03上的两只900Ω的电阻并联),并将负载电阻调至最大,短接平波电抗器。合上主电路电源,调节给定电压g u 的大小,观察不同α角时的整流电路的输出电压波形)(t f u d =,以及晶闸管的端电压波形)(t f u T =。 2、单相桥式全控整流电路供给阻感负载。 按照图2-1接线,接上阻感负载(电感选择700mH ,电阻采用MEL-03上的两只900Ω的电阻并联),并将负载电阻调至最大。合上主电路电源,调节给定电压g u 的大小,观察不同α角时的整流电路的输出电压波形)(t f u d =,以及晶闸管的端电压波形 )(t f u T =。 六、实验报告

《电力电子技术》实验指导书

实验三单相半波可控整流电路实验 一、实验目的 (1)掌握单结晶体管触发电路的调试步骤和方法。 (2)掌握单相半波可控整流电路在电阻负载及电阻电感性负载时的工作。 (3)了解续流二极管的作用。 二、实验所需挂件及附件 三、实验线路及原理

单结晶体管触发电路的工作原理及线路图已在1-3节中作过介绍。将DJK03挂件上的单结晶体管触发电路的输出端“G”和“K”接到DJK02挂件面板上的反桥中的任意一个晶闸管的门极和阴极,并将相应的触发脉冲的钮子开关关闭(防止误触发),图中的R负载用DK04滑线变阻器接成并联形式。二极管VD1和开关S1均在DJK06挂件上,电感L d在DJK02面板上,有100mH、200mH、700mH三档可供选择,本实验中选用700mH。直流电压表及直流电流表从DJK02挂件上得到。 图3-3单相半波可控整流电路 四、实验容 (1)单结晶体管触发电路的调试。 (2)单结晶体管触发电路各点电压波形的观察并记录。 (3)单相半波整流电路带电阻性负载时U d/U2= f(α)特性的测定。 (4)单相半波整流电路带电阻电感性负载时续流二极管作用的观察。 五、预习要求 (1)阅读电力电子技术教材中有关单结晶体管的容,弄清单结晶体管触发电路的工作原理。

(2)复习单相半波可控整流电路的有关容,掌握单相半波可控整流电路接电阻性负载和电阻电感性负载时的工作波形。 (3)掌握单相半波可控整流电路接不同负载时U d、I d的计算方法。 六、思考题 (1)单结晶体管触发电路的振荡频率与电路中电容C1的数值有什么关系? (2)单相半波可控整流电路接电感性负载时会出现什么现象?如何解决? 七、实验方法 (1)单结晶体管触发电路的调试 将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V,用两根导线将200V交流电压接到DJK03的“外接220V”端,按下“启动”按钮,打开DJK03电源开关,用双踪示波器观察单结晶体管触发电路中整流输出的梯形波电压、锯齿波电压及单结晶体管触发电路输出电压等波形。调节移相电位器RP1,观察锯齿波的周期变化及输出脉冲波形的移相围能否在30°~170°围移动? (2)单相半波可控整流电路接电阻性负载 触发电路调试正常后,按图3-3电路图接线。将滑线变阻器调在最大阻值位置,按下“启动”按钮,用示波器观察负载电压U d、晶闸管VT两端电压U VT的波形,调节电位器RP1,观察α=30°、60°、90°、120°、150°时U d、U VT的波形,并测量直流输出电压U和电源电压U2,记录于下表中。

电力电子技术实验-打印的

电力电子技术实验-打印的-标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

实验一单结晶体管触发电路实验 一、实验目的 (1) 熟悉单结晶体管触发电路的工作原理及各元件的作用。 (2) 掌握单结晶体管触发电路的调试步骤和方法。 序号型号备注 1 DJK01 电源控制屏该控制屏包含“三相电源输出” 等几个模块。 2 DJK0 3 晶闸管触发电路该挂件包含“单结晶体管触发电 路”等模块。 3 双踪示波器自备 图1-8 单结晶体管触发电路原理图 由同步变压器副边输出60V的交流同步电压,经VD1半波整流,再经稳压管V1、V2进行削波,从而得到梯形波电压,其过零点与电源电压的过零点同步,梯形波通过R7及等效可变电阻V5向电容C1充电,当充电电压达到单结晶体管的峰值电压Up时,单结晶体管V6导通,电容通过脉冲变压器原边放电,脉冲变压器副边输出脉冲。同时由于放电时间常数很小,C1两端的电压很快下降到单节晶体管的谷点电压Uv使V6关断,C1再次充电,周而复始,在电容c1两端呈现锯齿波形,在脉冲变压器副边输出尖脉冲。在一个梯形波周期内,V6可能导通、关断多次,但对晶闸管的触发只有第一个输出脉冲起作用。电容C1的充电时间常数由等效电阻等决定,调节RP1改变C1的充电时间,控制第一个尖脉冲的出现时刻,实现脉冲的移相控制。单结晶体管触发电路的个点波形略。 四、实验内容 (1) 单结晶体管触发电路的调试。

(2) 单结晶体管触发电路各点电压波形的观察。 五、思考题 (1) 单结晶体管触发电路的振荡频率与电路中 C1 的数值有什么关系 答:在一个梯形波周期内,V6可能导通、关断多次,但对晶闸管的触发只有 第一个输出脉冲起作用。电容C1的充电时间常数由等效电阻等决定,调节RP1 改变C1的充电时间,控制第一个尖脉冲的出现时刻,实现脉冲的移相控制。(2) 单结晶体管触发电路的移相范围能否达到180° 答:能 六、实验方法 (1) 单结晶体管触发电路的观测 将 DJK01 电源控制屏的电源选择开关打到“直流调速”侧 , 使输出线 电压为 200V (不能打到“交流调速”侧工作,因为 DJK03 的正常工作电源电压为220V ± 10% ,而“交流调速”侧输出的线电压为 240V 。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“ DZSZ-1 型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到 220V 左右,然后才能将电源接入挂件),用两根导线将 200V 交流电压接到 DJK03 的“外接220V ”端,按下“启动”按钮,打开 DJK03 电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察单结晶体管触发电路,经半波整流后“ 1 ”点的波形,经稳压管削波得到“ 2 ”点的波形,调节移相电位器 RP1 ,观察“ 4 ”点锯齿波的周期变化及“ 5 ”点的触发脉冲波形;最后观测输出的“ G 、K ”触发电压波形,其能否在30° ~ 170° 范围内移相 (2) 单结晶体管触发电路各点波形的记录

电力电子实验指导书完全版范本

电力电子实验指导 书完全版

电力电子技术实验指导书 目录 实验一单相半波可控整流电路实验........................... 错误!未定义书签。实验二三相桥式全控整流电路实验........................... 错误!未定义书签。实验三单相交流调压电路实验 .................................. 错误!未定义书签。实验四三相交流调压电路实验 .................................. 错误!未定义书签。实验装置及控制组件介绍 ............................................ 错误!未定义书签。

实验一单相半波可控整流电路实验 一、实验目的 1.熟悉单结晶体管触发电路的工作原理及各元件的作用; 2.对单相半波可控整流电路在电阻负载及电阻电感负载时的工作做全 面分析; 3.了解续流二极管的作用; 二、实验线路及原理 熟悉单结晶体管触发电路的工作原理及线路图,了解各点波形形状。将单结晶体管触发电路的输出端“G”和“K”端接至晶闸管的门极和阴极, 即构成如图1-1所示的实验线路。 图1-1 单结晶体管触发的单相半波可控整流电路 三、实验内容 1.单结晶体管触发电路的调试; 2.单结晶体管触发电路各点电压波形的观察; 3.单相半波整流电路带电阻性负载时Ud/U2=f(α)特性的测定; 4.单相半波整流电路带电阻电感性负载时续流二极管作用的观察;

四、实验设备 1.电力电子实验台 2.RTDL09实验箱 3.RTDL08实验箱 4.RTDL11实验箱 5.RTDJ37实验箱 6.示波器; 7.万用表; 五、预习要求 1.了解单结晶体管触发电路的工作原理,熟悉RTDL09实验箱; 2.复习单相半波可控整流电路的有关内容,掌握在接纯阻性负载和阻 感性负载时,电路各部分的电压和电流波形; 3.掌握单相半波可控整流电路接不同负载时Ud、Id的计算方法。 六、思考题 1.单相桥式半波可控整流电路接阻感性负载时会出现什么现象?如何 解决? 七、实验方法 1.单相半波可控整流电路接纯阻性负载 调试触发电路正常后,合上电源,用示波器观察负载电压Ud、晶闸管VT两端电压波形U VT,调节电位器RP1,观察α=30o、60o、90o、120o、150o、180o时的Ud、U VT波形,并测定直流输出电压Ud 和电源电压U2,记录于下表1-1中。

电力电子技术实验(课程教案)

课程教案 课程名称:电力电子技术实验 任课教师:张振飞 所属院部:电气与信息工程学院 教学班级:电气1501-1504班、自动化1501-1504自动化卓越1501 教学时间:2017-2018学年第一学期 湖南工学院

课程基本信息

1 P 实验一、SCR、GTO、MOSFET、GTR、IGBT特性实验 一、本次课主要内容 1、晶闸管(SCR)特性实验。 2、可关断晶闸管(GTO)特性实验(选做)。 3、功率场效应管(MOSFET)特性实验。 4、大功率晶体管(GTR)特性实验(选做)。 5、绝缘双极性晶体管(IGBT)特性实验。 二、教学目的与要求 1、掌握各种电力电子器件的工作特性测试方法。 2、掌握各器件对触发信号的要求。 三、教学重点难点 1、重点是掌握各种电力电子器件的工作特性测试方法。 2、难点是各器件对触发信号的要求。 四、教学方法和手段 课堂讲授、提问、讨论、演示、实际操作等。 五、作业与习题布置 撰写实验报告

2 P 一、实验目的 1、掌握各种电力电子器件的工作特性。 2、掌握各器件对触发信号的要求。 二、实验所需挂件及附件 三、实验线路及原理 将电力电子器件(包括SCR、GTO、MOSFET、GTR、IGBT五种)和负载 电阻R串联后接至直流电源的两端,由DJK06上的给定为新器件提供触 发电压信号,给定电压从零开始调节,直至器件触发导通,从而可测得 在上述过程中器件的V/A特性;图中的电阻R用DJK09 上的可调电阻负 载,将两个90Ω的电阻接成串联形式,最大可通过电流为1.3A;直流电 压和电流表可从DJK01电源控制屏上获得,五种电力电子器件均在DJK07 挂箱上;直流电源从电源控制屏的输出接DJK09上的单相调压器,然后 调压器输出接DJK09上整流及滤波电路,从而得到一个输出可以由调压 器调节的直流电压源。 实验线路的具体接线如下图所示:

完整电力电子技术教案

电力电子技术教案 周次:

时间: 课题:绪论第一章第一节电力二极管 课时:2课时 教学目标:1、了解什么是电力电子技术 2、电力二极管的结构与伏安特性 3、掌握掌握电力二极管的主要参数和使用 重点、难点:电力二极管的伏安特性和主要参数 教具:教材粉笔 教学方法:讲授法 时间分配:新授 80分钟小结 15分钟作业布置 5分钟 教学过程: 绪论 相关知识 一、什么是电力电子技术 电子技术包括信息电子技术和电力电子技术两大分支。通常所说的模拟电子技术和数字电子技术都属于信息电子技术。电力电子技术是应用于电力领域的电子技术。具体地说,就是使用电力电子器件对电能进行变换和控制的技术。目前所用的电力电子器件均用半导体制成,故也称电力半导体器件。电力电子技术所变换的“电力”,功率可以大到数百MW甚至GVV,也可以小到数W甚至1W以下。信息电子技术主要用于信息处理,而电力电子技术则主要用于电力变换。通常所用的电力有交流和直流两种。从公用电网直接得到的电力是交流的,从蓄电池和干电池得到的电力是直流的。从这些电源得到的电力往往不能直接满足要求,需要进行电力变换。如表0-1所示,电力变换通常可分为四大类,即交流变直流、直流变交流、直流变直流和交流变交流。交流变直流称为整流,直流变交流称为逆变。直流变直流是指一种电压(或电流)的直流变为另一种电压(或电流)的直流,可用直流斩波电路实现。交流变交流可以是电压或电力的变换,称做交流电力控制,也可以是频率或相数的变换。进行上述电力变换的技术称为变流技术。. 二.电力电子器件的发展简介 1.传统电力电子器件 2.现代电力电子器件 (1)双极型器件 (2)单极型器件 (3)混合型器件 三、变换电路与控制技术 四、对本课程的教学要求 第一节电力二极管 相关知识 一、结构与伏安特性 1、结构 电力二极管的基本结构和工作原理与信息电子电路中的二极管是一样的,都是以半导体PN结为基础的。电力二极管实际上是由一个面积较大的PN结和两端引线以及封装组成的,图1-2示出了电力二极管的外形、结构和电气图形符号。从外形上看,电力二极管 主要有螺性型和平板型两种封装。 2、伏安特性 电力二极管的静态特性主要是指其伏安特性,如图 所示。当电力二极管承受的正向电压大到一定值(门槛电压),正向电流才开始明显增加,处于稳

模拟电子技术基础教案

《模拟电子技术基础》教案 1、本课程教学目的: 本课程是电气信息类专业的主要技术基础课。其目的与任务是使学生掌握常用半导体器件和典型集成运放的特性与参数,掌握基本放大、负反馈放大、集成运放应用等低频电子线路的组成、工作原理、性能特点、基本分析方法和工程计算方法;使学生具有一定的实践技能和应用能力;培养学生分析问题和解决问题的能力,为后续课程和深入学习这方面的内容打好基础。 2、本课程教学要求: 1.掌握半导体器件的工作原理、外部特性、主要参数、等效电路、分析方法及应用原理。 2.掌握共射、共集、共基、差分、电流源、互补输出级六种基本电路的组成、工作原理、特点及分析,熟悉改进放大电路,理解多级放大电路的耦合方式及分析方法,理解场效应管放大电路的工作原理及分析方法,理解放大电路的频率特性概念及分析。 3.掌握反馈的基本概念和反馈类型的判断方法,理解负反馈对放大电路性能的影响,熟练掌握深度负反馈条件下闭环增益的近似估算,了解负反馈放大电路产生自激振荡的条件及其消除原则。 4.了解集成运算放大器的组成和典型电路,理解理想运放的概念,熟练掌握集成运放的线性和非线性应用原理及典型电路;掌握一般直流电源的组成,理解整流、滤波、稳压的工作原理,了解电路主要指标的估算。

3、使用的教材: 杨栓科编,《模拟电子技术基础》,高教出版社 主要参考书目: 康华光编,《电子技术基础》(模拟部分)第四版,高教出版社 童诗白编,《模拟电子技术基础》,高等教育出版社, 张凤言编,《电子电路基础》第二版,高教出版社, 谢嘉奎编,《电子线路》(线性部分)第四版,高教出版社, 陈大钦编,《模拟电子技术基础问答、例题、试题》,华中理工大学出版社,唐竞新编,《模拟电子技术基础解题指南》,清华大学出版社, 孙肖子编,《电子线路辅导》,西安电子科技大学出版社, 谢自美编,《电子线路设计、实验、测试》(二),华中理工大学出版社, 绪论 本章的教学目标和要求: 要求学生了解放大电路的基本知识;要求了解放大电路的分类及主要性能指标。 本章总体教学内容和学时安排:(采用多媒体教学) §1-1 电子系统与信号0.5 §1-2 放大电路的基本知识0.5

电力电子技术及电机控制实验装置实验指导书(doc 61页)

电力电子技术及电机控制实验装置实验指导书(doc 61页)

电力电子技术实验指导书武夷学院机电工程学院

目录 第一章DJDK-1型电力电子技术及电机控制实验装置简介 (1) 1-1 控制屏介绍及操作说明 (1) 1-2 DJK01电源控制屏 (1) 1-3 各挂件功能介绍 (4) 第二章电力电子及电机控制实验的基本要求和安全操作说明 (80) 1-1 实验的特点和要求 (81) 1-2 实验前的准备 (82) 1-3 实验实施 (83) 1-4 实验总结 (85) 1-5 实验安全操作规程 (87) 第三章电力电子技术实验 (89) 实验一 SCR、GTO、MOSFET、GTR、IGBT特性实验 (89) 实验二锯齿波同步移相触发电路实验 (95) 实验三单相桥式半控整流电路实验 (100) 实验四直流斩波电路原理实验 (108) 实验五单相交流调压电路实验 (116) 实验六三相半波可控整流电路实验 (124) 1

第一章DJDK-1 型电力电子技术及电机控制实验装置简介 1-1 控制屏介绍及操作说明 一、特点 (1)实验装置采用挂件结构,可根据不同实验内容进行自由组合,故结构紧凑、使用方便、功能齐全、综合性能好,能在一套装置上完成《电力电子技术》、《自动控制系统》、《直流调速系统》、《交流调速系统》、《电机控制》及《控制理论》等课程所开设的主要实验项目。 (2)实验装置占地面积小,节约实验室用地,无需设置电源控制屏、电缆沟、水泥墩等,可减少基建投资;实验装置只需三相四线的电源即可投入使用,实验室建设周期短、见效快。 (3)实验机组容量小,耗电小,配置齐全;装置使用的电机经过特殊设计,其参数特性能模拟3KW 左右的通用实验机组。 (4)装置布局合理,外形美观,面板示意图明确、清晰、直观;实验连接线采用强、弱电分开的手枪式插头,两者不能互插,避免强电接入弱电设备, 1

浙大电力电子技术实验在线课后复习

您的本次作业分数为:98分单选题 1.【全部章节】三相桥式全控整流电路电感性负载实验中,关于整流电压ud描述正确的是? ? A 一个周期内,整流电压ud由6个波头组成 ? B 触发角为30°时,整流电压ud会出现瞬时值为零的点 ? C 移相范围是60° ? D 触发角为60°时,整流电压ud平均值为零 ? 单选题 2.【全部章节】自关断器件及其驱动与保护电路实验中,PWM信号占空比与直流电动机电枢电压及转速关系是? ? A 占空比越大,电枢电压越大,转速越小 ? B 占空比越大,电枢电压越小,转速越大 ? C 占空比越大,电枢电压越大,转速越大

? D 占空比越小,电枢电压越大,转速越大 ? 单选题 3.【全部章节】单相桥式半控整流电路实验中,能够用双踪示波器同时观察触发电路与整流电路波形?为什么? ? A 能 ? B 不能,因为示波器两个探头地线必须接在等电位的位置上 ? C 不能,因为示波器量程不足以观察整流电路波形 ? D 不能,因为示波器无法同时观察低压与高压信号 ? 单选题 4.【全部章节】关于锯齿波同步移相触发器描述错误的是

? A 多个触发器联合使用可以提供间隔60°的双窄脉冲? B 可以提供强触发脉冲 ? C 有同步检测环节,用于保证触发电路与主电路的同步? D 移相范围为30°到150° ? 单选题 5.【全部章节】关于“单管整流”现象的描述,错误的是? A 输出电流为单向脉冲波,含有很大的直流分量 ? B “单管整流”会危害电机、大电感性质的负载 ? C 此时电路中只有一个晶闸管导通 ? D 只在负载功率因数角小于触发角时出现 ?

中原工学院“电力电子技术”电子教案

授课班级授课形式面授(加网络辅助)授课日期授课时数2,网络2 授课章节名称绪论 第一章:电力电子器件 1.1:电力电子器件概述 1.2:不可控器件——电力二极管 教学目的1.了解电力电子技术的基本概念、学科地位、基本内容和发展历史、应用范围和发展前景;理解本课程的任务与要求 2.熟悉电力电子器件的特征、发展以及分类 3.掌握PN结与电力二极管的工作原理和电力二极管的基本特征4.掌握电力二极管的主要参数 5.了解快速恢复二极管的基本特征 教学重点 1.动态特性的关断特性和开通特性 2.电力二极管的主要参数 教学难点1.器件的选取原则 2.主要静态、动态参数 更新、补充 删节内容 补充内容:电力二极管的选取原则 参考文献1.电力电子技术王云亮电子工业出版社 2.电力电子技术苏玉刚重庆大学出版社 3.电力电子技术基础应建平机械工业出版社 使用教具课件,多媒体 课外作业 42页习题 习题1、习题2 课后体会

授课班级授课形式面授授课日期授课时数 2 授课章节名称第一章:电力电子器件1.3:半控型器件——晶闸管 教学目的1.掌握晶闸管的结构与工作原理,PNPN四层三端结构 2.掌握晶闸管的基本特征,静态特性和门极伏安特性, 3.重点掌握动态特性的开通和关断过程 4.掌握晶闸管的主要参数:电压和电流定额、动态参数(di/dt , dv/dt)、门极参数 5.熟练掌握器件的选取原则, 教学重点1.晶闸管的开通、关断条件 2.半控型器件晶闸管的选取原则(电流定额):选取SCR电流额定值时,依有效值相等的原则选取。 教学难点 1.半控型器件晶闸管的选取原则(电流定额) 2.半控型器件晶闸管的动态参数(di/dt , dv/dt) 更新、补充删节内容补充内容:半控型器件晶闸管的选取原则删节内容:晶闸管的派生器件 参考文献1.电力电子技术王云亮电子工业出版社 2.电力电子技术苏玉刚重庆大学出版社 3.电力电子技术基础应建平机械工业出版社 使用教具课件,多媒体 课外作业 42页习题 习题3、习题4 课后体会

模拟电子技术教案课程

模拟电子技术教案课程公司标准化编码 [QQX96QT-XQQB89Q8-NQQJ6Q8-MQM9N]

模拟电子技术教案 电子与信息工程学院 目录 第一章常用半导体器件 第一讲半导体基础知识 第二讲半导体二极管 第三讲双极型晶体管三极管 第四讲场效应管 第二章基本放大电路 第五讲放大电路的主要性能指标及基本共射放大电路组成原理 第六讲放大电路的基本分析方法 第七讲放大电路静态工作点的稳定 第八讲共集放大电路和共基放大电路 第九讲场效应管放大电路 第十讲多级放大电路 第十一讲习题课 第三章放大电路的频率响应 第十二讲频率响应概念、RC电路频率响应及晶体管的高频等效模型

第十三讲共射放大电路的频率响应以及增益带宽积 第四章功率放大电路 第十四讲功率放大电路概述和互补功率放大电路 第十五讲改进型OCL电路 第五章模拟集成电路基础 第十六讲集成电路概述、电流源电路和有源负载放大电路第十七讲差动放大电路 第十八讲集成运算放大电路 第六章放大电路的反馈 第十九讲反馈的基本概念和判断方法及负反馈放大电路的方框图第二十讲深度负反馈放大电路放大倍数的估算 第二十一讲负反馈对放大电路的影响 第七章信号的运算和处理电路 第二十二讲运算电路概述和基本运算电路 第二十三讲模拟乘法器及其应用 第二十四讲有源滤波电路 第八章波形发生与信号转换电路 第二十五讲振荡电路概述和正弦波振荡电路 第二十六讲电压比较器

第二十七讲非正弦波发生电路 第二十八讲利用集成运放实现信号的转换 第九章直流电源 第二十九讲直流电源的概述及单相整流电路 第三十讲滤波电路和稳压管稳压电路 第三十一讲串联型稳压电路 第三十二讲总复习 第一章半导体基础知识 本章主要内容 本章重点讲述半导体器件的结构原理、外特性、主要参数及其物理意义,工作状态或工作区的分析。 首先介绍构成PN结的半导体材料、PN结的形成及其特点。其后介绍二极管、稳压管的伏安特性、电路模型和主要参数以及应用举例。然后介绍两种三极管(BJT和FET)的结构原理、伏安特性、主要参数以及工作区的判断分析方法。 本章学时分配 本章分为4讲,每讲2学时。 第一讲常用半导体器件 本讲重点

#电力电子技术实验一、二、三

实验一锯齿波同步触发电路实验 一、实验目的 1、加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 2、掌握锯齿波同步移相触发电路的调试方法。 二、实验主要仪器与设备: 三、实验原理 锯齿波同步移相触发电路的原理图如图1-1所示。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见电力电子技术教材中的相关内容。 图1-1 锯齿波同步移相触发电路原理图 图1-1中,由V3、VD1、VD2、C1等元件组成同步检测环节,其作用是利用同步电压U T来控制锯齿波产生的时刻及锯齿波的宽度。由V1、V2等元件组成的恒流源电路,当V3截止时,恒流源对C2充电形成锯齿波;当V3导通时,电容C2通过R4、V3放电。调节电位器RP1可以调节恒流源的电流大小,从而改变了锯齿波的斜率。控制电压U ct、偏移电压U b 和锯齿波电压在V5基极综合叠加,从而构成移相控制环节,RP2、RP3分别调节控制电压U ct和偏移电压U b的大小。V6、V7构成脉冲形成放大环节,C5为强触发电容改善脉冲的前

沿,由脉冲变压器输出触发脉冲,电路的各点电压波形如图1-2所示。 本装置有两路锯齿波同步移相触发电路,I和II,在电路上完全一样,只是锯齿波触发电路II输出的触发脉冲相位与I恰好互差180°,供单相整流及逆变实验用。 电位器RP1、RP2、RP3均已安装在挂箱的面板上,同步变压器副边已在挂箱内部接好,所有的测试信号都在面板上引出。 图1-2 锯齿波同步移相触发电路各点电压波形(α=90°) 四、实验内容及步骤

1、实验内容: (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 2、实验步骤: (1) 将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为220V±10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽度,并比较“3”点电压U3和“6”点电压U6的对应关系。 (2)调节触发脉冲的移相范围 将控制电压U ct调至零(将电位器RP2顺时针旋到底),用示波器观察同步电压信号和“6”点U6的波形,调节偏移电压U b(即调RP3电位器),使α=170°,其波形如图1-3所示。 图1-3锯齿波同步移相触发电路 (3)调节U ct(即电位器RP2)使α=60°,观察并记录U1~U6及输出“G、K”脉冲电压的波形,标出其幅值与宽度,并记录在下表中(可在示波器上直接读出,读数时应将示波器的“V/DIV”和“t/DIV”微调旋钮旋到校准位置)。

电力电子技术教案

第1、2课时课题: 电力电子技术绪论 教学目的和要求: 掌握电力电子技术等概念,了解电力电子技术的发展史以及电力电子技术的应用。重点与难点: 掌握电力电子技术等相关概念 教学方法: 图片展示,应用介绍,结论分析。 预复习任务: 复习前期学过的《电工技术基础》等课程的相关知识。 1什么是电力电子技术 电力电子与信息电子 信息电子技术——信息处理 电力电子技术——电力变换 电子技术一般即指信息电子技术,广义而言,也包括电力电子技术。 电力电子技术——使用电力电子器件对电能进行变换和控制的技术,即应用于电力领域的电子技术。目前电力电子器件均用半导体制成,故也称电力半导体器件。电力电子技术变换的“电力”,可大到数百MW甚至GW,也可小到数W甚至1W以下。两大分支 电力电子器件制造技术 电力电子技术的基础,理论基础是半导体物理。

变流技术(电力电子器件应用技术) 用电力电子器件构成电力变换电路和对其进行控制的技术,以及构成电力电子装置和电力电子系统的技术。 电力电子技术的核心,理论基础是电路理论。 电力变换四大类:交流变直流、直流变交流、直流变直流、交流变交流 直流交流 输 出 输入 交流整流交流电力控制、变频、变相 直流直流斩波逆变 与相关学科的关系 电力电子学名称60年代出现。 与电子学(信息电子学)的关系 都分为器件和应用两大分支。 器件的材料、工艺基本相同,采用微电子技术。 应用的理论基础、分析方法、分析软件也基本相同。 信息电子电路的器件可工作在开关状态,也可工作在放大状态;电力电子电路的器件一般只工作在开关状态。 二者同根同源。 与电力学(电气工程)的关系 电力电子技术广泛用于电气工程中 高压直流输电、静止无功补偿、电力机车牵引、交直流电力传动、电解、电镀、电加热、高性能交直流电源

电力电子技术实验指导书

景德镇陶瓷学院 机械电子工程学院 电子电子技术 实验指导书 专业:自动化 实验室:A1栋408 二零一五年六月制 实验一单结晶体管触发电路及单相半波可控整流电 路实验 一.实验目的 1.熟悉单结晶体管触发电路的工作原理及各元件的作用。 2.掌握单结晶体管触发电路的调试步骤和方法。 3.对单相半波可控整流电路在电阻负载及电阻电感负载时工作情况作全面分析。 4.了解续流二极管的作用。

二.实验内容 1.单结晶体管触发电路的调试。 2.单结晶体管触发电路各点波形的观察。 3.单相半波整流电路带电阻性负载时特性的测定。 4.单相半波整流电路带电阻—电感性负载时,续流二极管作用的观察。 三.实验线路及原理 将单结晶体管触发电路的输出端“G”“K”端接至晶闸管VT1的门阴极,即可构成如图4-1所示的实验线路。 四.实验设备及仪器 1.MCL系列教学实验台主控制屏 2.MCL—18组件(适合MCL—Ⅱ)或MCL—31组件(适合MCL—Ⅲ) 3.MCL—33(A)组件或MCL—53组件(适合MCL—Ⅱ、Ⅲ、Ⅴ)4.MCL—05组件或MCL—05A组件 5.MEL—03三相可调电阻器或自配滑线变阻器 6.二踪示波器 7.万用表 五.注意事项 1.双踪示波器有两个探头,可以同时测量两个信号,但这两个探头的地线都与示波器的外壳相连接,所以两个探头的地线不能同时接在某一电路的不同两点上,否则将使这两点通过示波器发生电气短路。为此,在实验中可将其中一根探头的地线取下或外包以绝缘,只使用其中一根地线。当需要同时观察两个信号时,必须在电路上找到这两个被测信号的公共点,将探头的地线接上,两个探头各接至信号处,即能在示波器上同时观察到两个信号,而不致发生意外。 2.为保护整流元件不受损坏,需注意实验步骤:

电力电子技术实验报告答案

实验一锯齿波同步移相触发电路实验 一、实验目的 (1)加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 (2)掌握锯齿波同步移相触发电路的调试方法。 三、实验线路及原理 锯齿波同步移相触发电路的原理图如图1-11所示。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见1-3节和电力电子技术教材中的相关内容。 四、实验内容 (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 五、预习要求 (1)阅读本教材1-3节及电力电子技术教材中有关锯齿波同步移相 触发电路的内容,弄清锯齿波同步移相触发电路的工作原理。 (2)掌握锯齿波同步移相触发电路脉冲初始相位的调整方法。 六、思考题 (1)锯齿波同步移相触发电路有哪些特点? (2)锯齿波同步移相触发电路的移相范围与哪些参数有关? (3)为什么锯齿波同步移相触发电路的脉冲移相范围比正弦波同步移相触发电路的移相范围要大? 七、实验方法 (1)将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为220V 10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽度,并比较“3”点电压U3和“6”点电压U6的对应关系。 (2)调节触发脉冲的移相范围

电力电子技术第2章_习题_答案

班级姓名学号 第2/9章电力电子器件课后复习题 第1部分:填空题 1. 电力电子器件是直接用于主电路中,实现电能的变换或控制的电子器件。 2. 主电路是在电气设备或电力系统中,直接承担电能变换或控制任务的电路。 3. 电力电子器件一般工作在开关状态。 4. 电力电子器件组成的系统,一般由控制电路、驱动电路、主电路三部分组成, 由于电路中存在电压和电流的过冲,往往需添加保护电路。 5. 按照器件能够被控制的程度,电力电子器件可分为以下三类:不可控器件、半控型器件 和全控型器件。 6.按照驱动电路信号的性质,电力电子器件可分为以下分为两类:电流驱动型和电压驱动型。 7. 电力二极管的工作特性可概括为单向导电性。 8. 电力二极管的主要类型有普通二极管、快恢复二极管、肖特基二极管。 9. 普通二极管又称整流二极管多用于开关频率不高,一般为1K Hz以下的整流电路。其 反向恢复时间较长,一般在5μs以上。 10.快恢复二极管简称快速二极管,其反向恢复时间较短,一般在5μs以下。 11.肖特基二极管的反向恢复时间很短,其范围一般在10~40ns之间。 12.晶闸管的基本工作特性可概括为:承受反向电压时,不论是否触发,晶闸管都不会导 通;承受正向电压时,仅在门极正确触发情况下,晶闸管才能导通;晶闸管一旦导通, 门极就失去控制作用。要使晶闸管关断,只能使晶闸管的电流降至维持电流以下。 13.通常取晶闸管的U DRM和U RRM中较小的标值作为该器件的额定电压。选用时,一般取 为正常工作时晶闸管所承受峰值电压2~3 倍。 14.使晶闸管维持导通所必需的最小电流称为维持电流。晶闸管刚从断态转入通态并移除 触发信号后,能维持导通所需的最小电流称为擎住电流。对同一晶闸管来说,通常I L约为I H的称为2~4 倍。 15.晶闸管的派生器件有:快速晶闸管、双向晶闸管、逆导晶闸管、光控晶闸管。 16. 普通晶闸管关断时间数百微秒,快速晶闸管数十微秒,高频晶闸管10微秒左右。 高频晶闸管的不足在于其电压和电流定额不易做高。 17. 双向晶闸管可认为是一对反并联联接的普通晶闸管的集成。

电力电子实验指导书.

电力电子技术 实 验 指 导 书 北京化工大学信息科学与技术学院电工电子教学实习中心 二零零四年六月

目录 实验1 三相桥式全控整流电路的性能研究(设计性) (1) 实验2 直流斩波电路的性能研究(设计性) (5) 实验3 单相交流调压电路的性能研究(设计性) (7) 实验4 单相交直交变频电路的性能研究(设计性) (9)

实验1 三相桥式全控整流电路的性能研究(设计性) 1. 实验目的 熟悉三相桥式全控整流电路的接线,器件和保护情况。明确对触发脉冲的要求。观察在电阻负载、电阻电感负载和反电动势负载情况下电路的输出电压和电流的波形。 2. 实验内容 1)熟悉实验装置的电路结构和器件,检查连接主电路和触发电路的接插线,检查快速熔断器是否良好。电路见实验图1,其中实验图1a为主电路,图中所接负载为电感电阻负载,实验中也可以接电阻负载。实验图1b所示为触发电路,该触发电路由3片集成触发电路芯片KJ004和1片集成双脉冲发生器芯片KJ041组成。触发电路产生的触发信号用接插线与主电路各晶闸管相连接。 2) 熟悉采用KJ004和KJ041构成的触发电路。

6)接电阻电感负载时,在3L R ω>的情况下,调节p u 使0=co u 时0≈d U ,以后p u 固定不变,通过调节变阻器的阻值(有条件的也可改变电感值)改变负载阻抗角?,对于不同的?,观察不同的α时d u 、d i 、和T u 的波形,注意电流临界连续时,α和?的配合情况。记录触发角α分别为0?、30?、60?和90?时co u 与d U 的数值。 7)负载端接平波电抗器和直流他励电动机的电枢,合闸时必须注意使0=co u 、 90α≈?和0≈d U ,随后逐步调节co u ,观察d u 、d i 、L u 和电枢端D u 的波形,适量加载,并分别观察接上电抗器与短接电抗器时d i 的波形,注意电流断续时的现象。 3. 实验报告 1) 估算实验电路参数并选择测试仪表。 2) 分析触发器输出的双脉冲波形。 3) 分别绘制出电阻负载、电感电阻负载时α-L d U U 2/曲线。 4) 不同负载时,不同α与?时电流连续与断续的情况与分析。 5) 讨论与分析实验结果,特别注意对实验过程中出现的异常情况进行分析。

相关文档
相关文档 最新文档