文档库 最新最全的文档下载
当前位置:文档库 › 数电第五章习题答案

数电第五章习题答案

数电第五章习题答案
数电第五章习题答案

5.4 对于图P5.4电路,试导出其特征方程并说明对A、B的取值有无约束条件。

Q

图P5.11

P5.12

5.12 画出图P5.12电路中Q 1、Q 2 的波形。

解:特征方程为: ,Q 端波形如图P5.12所示。

=[D]·CP 1,Q 1n+1

Q 2n+1= Q 1n

[]·CP 2

图P5.14 图P5.15

5.15 画出图P5.15电路中Q 端的波形。 解:Q 端波形如图P5.15所示。

5.16 试作出图P5.16电路中Q A 、Q B 的波形。

解:特征方程为: , ,Q 端波形如图P5.16所示。

图P5.16 图P5.17

Q A n+1= Q B n

[]·A Q B n+1= Q A n []·B

A R D

B Q A Q B

R D CP CP ⊕Q 2

Q 1Q 2

5.17 试作出图P5.17电路中Q 1、Q 2 的波形。

解:特征方程为: , ,Q 端波形如图P5.17所示。

5.18 试作出图P5.18电路中Q 1和Q 2的波形(设Q 1和Q 2的初态均为“0”),并说明Q 1和 Q 2对于CP 2各为多少分频。

解:特征方程为: , ,Q 端波形如图P5.18所示。 Q 1和Q 2对于CP 2都是4分频,即

图P5.18 图P5.19

5.19 已知电路如图P5.19,试作出Q 端的波形。设Q 的初态为“0”。

解:特征方程为: ,Q 端波形如图P5.19所示。

5.20 已知输入u I 、输出u O 波形分别如图P5.20所示,试用两个D 触发器将该输入波形u I 转换成输出波形u O 。

解:输出u O 是对输入u I 的4分频,而采用1个DFF 可实现2分频,故实现电路如图P5.20所示。

图P5.20

5.21 试分别用公式法和列表图解法将主从SR 触发器转换成JK 触发器。

解1:

Q 1n+1= Q 1n []·(CP ⊕Q 2)Q 2n+1

= Q 2n []·Q 1?)?,(

2

2

21==CP Q CP Q f f f f Q 1

n+1= Q 1n []·CP 1

Q 2n+1= ·Q 2n []·CP 2Q 1n CP 2CP 1Q 1Q 2

4

1

,412

221==CP Q CP Q f f f f Q n+1

= [ A ]·CP CP A Q

u I

u O

Q n+1=S+RQ n SR =0Q n+1=JQ n +KQ

n

令新老触发器的次态方程相等,则有S=JQ n ,R=K

但不满足约束条件SR =0。改为:

解2: (1)列综合表,如下所示: (2)作卡诺图,如下图所示

(3)电路图如下:

图P5.21

S=JQ n

,R=KQ n

=JQ (因为Q n+1=JQ n +KQ n n +KQ n Q n )01 101 010 100 0Q n+1K Q n

S R

0 1 ?0 ??0 0 0 0 0 0 J 0

1 1

11 010 110 0 1 1

?0 0 0 0 1 1 1 1 1

S=JQ

n

R=KQ n

J K

CP

数电实验2

深圳大学实验报告 课程名称:数字电子技术 实验项目名称:TTL、HC和HCT器件的参数测试学院:光电工程 专业:光电信息 指导教师: 报告人:刘恩源学号:2012170042 班级:2 实验时间: 实验报告提交时间:

一、实验目的与要求: 1、掌握TTL、HCT和HCT器件的传输特性。 2、熟悉万用表的使用方法。 二、实验仪器: 1、六反相器74LS04 1片 2、六反相器74HC04 1片 3、六反相器74HCT04 1片 4、万用表 三、实验原理: 非门的输出电压V O与输入电压V I的关系V O=f(V I)叫做电压传输特性,也叫做电压转移特性。它可以用一条曲线表示,叫做电压传输特性曲线。从传输特性曲线可以求出非门的下列参数: 1、输出高电平(V OH)。 2、输出低电平(V OL)。 3、输入高电平(V IH)。 4、输入低电平(V IL)。 5、门槛电平(V T)。 四、实验内容与步骤: 1、测试TTL器件74LS04一个非门的传输特性。 2、测试HC器件74HC04一个非门的传输特性。 3、测试HCT器件74HC04一个非门的传输特性。 注意:1、注意被测器件的引脚7和引脚14分别接地和接+5V。 2、将实验箱上直流信号源的输出端作为被测非门的输入电压。旋转电位器改变非门的 输入电压值。 1、3、按步长0.2V调整率改变非门的输入电压。首先用万用表监视非门输入电压,调 好输入电压后,再用万用表测试测量非门的输出电压,并记录下来。实验接线图由于74LS04、74HC04和74HCT04的逻辑功能相同,因此三个实验的接线图是一样的。 下面以第一个逻辑门为例,画出实验接线图(V I表示非门输入电压,电压表表示电压测试点)如下:

数字电子技术_第四章课后习题答案_(江晓安等编)

第四章组合逻辑电路 1. 解: (a)(b)是相同的电路,均为同或电路。 2. 解:分析结果表明图(a)、(b)是相同的电路,均为同或电路。同或电路的功能:输入相同输出为“1”;输入相异输出为“0”。因此,输出为“0”(低电平)时,输入状态为AB=01或10 3. 由真值表可看出,该电路是一位二进制数的全加电路,A为被加数,B为加数,C为低位向本位的进位,F1为本位向高位的进位,F2为本位的和位。 4. 解:函数关系如下: AB S F+ ⊕ = + + A BS S S A B B 将具体的S值代入,求得F 3 1 2 值,填入表中。

A A F B A B A B A A F B A B A A F A A F AB AB F B B A AB F AB B A B A B A AB F B A A AB F B A B A B A F B A AB AB B A B A F B B A B A B A B A B A B A F AB BA A A B A A B A F F B A B A F B A B A F A A F S S S S =⊕==+==+⊕===+⊕===⊕===⊕===+⊕===+=+⊕===⊕==+==⊕==Θ=+=+⊕===+++=+⊕===+=⊕===⊕==+=+⊕==+=+⊕===⊕==01111 1110 1101 01100 01011 1010 1001 1000 00111 0110 )(0101 0100 1010011 10010 10001 10000 0123

5. (1)用异或门实现,电路图如图(a)所示。 (2) 用与或门实现,电路图如图(b)所示。 6. 解因为一天24小时,所以需要5个变量。P变量表示上午或下午,P=0为上午,P=1为下午;ABCD表示时间数值。真值表如表所示。 利用卡诺图化简如图(a)所示。 化简后的函数表达式为

测控电路第六章答案

第六章信号转换电路 6-1 常用的信号转换电路有哪些种类?试举例说明其功能。 常用的信号转换电路有采样/保持(S/H)电路、电压比较电路、V/f(电压/频率)转换器、f/V(频率/电压)转换器、V/I(电压/电流)转换器、I/V(电流/电压)转换器、A/D (模/数)转换器、D/A(数/模)转换器等。 采样/保持(S/H)电路具有采集某一瞬间的模拟输入信号,根据需要保持并输出采集的电压数值的功能。这种电路多用于快速数据采集系统以及一切需要对输入信号瞬时采样和存储的场合,如自动补偿直流放大器的失调和漂移、模拟信号的延迟、瞬态变量的测量及模数转换等。 模拟电压比较电路是用来鉴别和比较两个模拟输入电压大小的电路。比较器的输出反映两个输入量之间相对大小的关系。比较器的输入量是模拟量,输出量是数字量,所以它兼有模拟电路和数字电路的某些属性,是模拟电路和数字电路之间联系的桥梁,是重要的接口电路。可用作鉴零器、整形电路,其中窗口比较电路的用途很广,如在产品的自动分选、质量鉴别等场合均用到它。 V/f(电压/频率)转换器能把输入信号电压转换成相应的频率信号,广泛地应用于调频、调相、模/数转换器、数字电压表、数据测量仪器及远距离遥测遥控设备中。f/V(电压/频率)转换器把频率变化信号线性地转换成电压变化信号。广泛地应用于调频、调相信号的解调等。 V/I(电压/电流)转换器的作用是将电压转换为电流信号。例如,在远距离监控系统中,必须把监控电压信号转换成电流信号进行传输,以减少传输导线阻抗对信号的影响。I/V (电流/电压)转换器进行电流、电压信号间的转换。例如,对电流进行数字测量时,首先需将电流转换成电压,然后再由数字电压表进行测量。在用光电池、光电阻作检测元件时,由于它们的输出电阻很高,因此可把他们看作电流源,通常情况下其电流的数值极小,所以是一种微电流的测量。随着激光、光纤技术在精密测量仪器中的普及应用,微电流放大器越来越占有重要的位置。 在以微型计算机为核心组成的数据采集及控制系统中,必须将传感器输出的模拟信号转换成数字信号,为此要使用模/数转换器(简称A/D转换器或ADC)。相反,经计算机处理后的信号常需反馈给模拟执行机构如执行电动机等,因此还需要数/模转换器(简称D/A转换器或DAC)将数字量转换成相应的模拟信号。 6-2 试述在S/H电路中对模拟开关、存储电容及运算放大器这三种主要元器件的选择有什么要求。 选择要求如下: 模拟开关:要求模拟开关的导通电阻小,漏电流小,极间电容小和切换速度快。 存储电容:要选用介质吸附效应小的和泄漏电阻大的电容。 运算放大器:选用输入偏置电流小、带宽宽及转换速率(上升速率)大的运算放大器;输入运放还应具有大的输出电流。

数字电路与逻辑设计习题7第七章半导体存储器(精)

第七章半导体存储器 一、选择题 1.一个容量为1K ×8的存储器有个存储单元。 A.8 B.8K C.8000 D.8192 2.要构成容量为4K ×8的R AM ,需要片容量为256×4的R AM 。 A.2 B.4 C.8 D. 32 3.寻址容量为16K ×8的RAM 需要根地址线。 A.4 B. 8 C.14 D. 16 E.16K 4.若R AM 的地址码有8位,行、列地址译码器的输入端都为4个,则它们的 输出线(即字线加位线)共有条。 A.8 B.16 C.32 D.256 5.某存储器具有8根地址线和8根双向数据线,则该存储器的容量为。 A.8×3 B.8K ×8 C. 256×8 D. 256×256 6. 采用对称双地址结构寻址的1024×1的存储矩阵有。 A.10行10列 B.5行5列 C.32行32列 D. 1024行1024列 7.随机存取存储器具有功能。 A. 读/写 B. 无读/写 C. 只读 D. 只写 8.欲将容量为128×1的R AM 扩展为1024×8,则需要控制各片选端的辅助译

码器的输出端数为。 A.1 B.2 C.3 D. 8 9.欲将容量为256×1的R AM 扩展为1024×8,则需要控制各片选端的辅助译 码器的输入端数为。 A.4 B.2 C.3 D. 8 10.只读存储器ROM 在运行时具有功能。 A. 读/无写 B. 无读/写 C. 读/写 D. 无读/无写 11.只读存储器R OM 中的内容,当电源断掉后又接通,存储器中的内容。 A. 全部改变 B. 全部为0 C. 不可预料 D. 保持不变 12.随机存取存储器RAM 中的内容,当电源断掉后又接通,存储器中的内容。 A. 全部改变 B. 全部为1 C. 不确定 D. 保持不变 13.一个容量为512×1的静态RAM 具有。 A. 地址线9根,数据线1根 B. 地址线1根,数据线9根 C. 地址线512根,数据线9根 D. 地址线9根,数据线512根 14.用若干R AM 实现位扩展时,其方法是将相应地并联在一起。 A. 地址线 B. 数据线 C. 片选信号线 D. 读/写线 15.PROM 的与陈列(地址译码器)是。 A. 全译码可编程阵列 B. 全译码不可编程阵列

数字电路第四章习题答案

第四章 习题 4.4 由两个与非门构成的基本RS 触发器的输入如图P4.4所示,画出Q 和Q 端的波形。 图 P4.4 4.5 由两个或非门构成的基本RS 触发器的输入波形如图P4.5所示,画出输出Q 和Q 的波形。 图 P4.5 4.6 图P4.6是一个防抖动输出的开关电路。当拨动开关S 时,由于开关触点接通瞬间发生振颤。 D S 和D R 的电压波形如图中所示,试画出Q 、Q 端对应的电压波形。

图P4.6 4.7 在同步RS触发器中,若CP、S、R的电压波形如图P4.7所示。画出Q和Q端的波形。设触发器的初始状态为Q=0。 图 P4.7 4.10 主从型JK触发器输入波形如图P4.10所示,画出输出端Q和Q的波形。设触发器初始状态Q=0。

4.11 主从型JK 触发器组成图P4.11(a )所示电路,输入波形如图P4.11(b )所示,画出各触 发器Q 端的波形。 (a ) 解:AB J 1 ,先画出J 的波形,然后画Q.。 4.12 主从型RS 触发器的CP 、S 、R 、D R 各输入的电压波形如图P4.12所示,画出端Q 和Q 端

对应的电压波形。 图 P4.12 4.14 维持阻塞D触发器构成图P4.14所示的电路,输入波形如图P4.14(b)所示。画出各触发器Q段的波形。触发器的初态均为0。 (b) 图 P4.14

4.16 上升沿触发的维持阻塞型D触发器74LS74组成图(a)所示电路,输入波形如图(b)所示,画出Q1和Q2的波形,设Q初态为0。 4.20 画出图P4.20电路在图中所示CP、 R信号作用下Q1、Q2、Q3的输出电压波形,并说明 D Q1、Q2、Q3输出信号的频率与CP信号频率之间的关系。 CP 1/2 1/4 Q Q Q 频率的 、1/8 。 、 和 的频率分别是 、 1 3 2

数字电路第六章练习带答案

第六章(选择、判断、填空共19题) 一、选择题 1.脉冲整形电路有。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.555定时器 2.多谐振荡器可产生。 A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波 3.石英晶体多谐振荡器的突出优点是。 A.速度高 B.电路简单 C.振荡频率稳定 D.输出波形边沿陡峭4.T T L单定时器型号的最后几位数字为。 A.555 B.556 C.7555 D.7556 5.555定时器可以组成。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器 6.用555定时器组成施密特触发器,当输入控制端C O外接10V电压时,回差电压为。 A.3.33V B.5V C.6.66V D.10V 7.以下各电路中,可以产生脉冲定时。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.石英晶体多谐振荡器 二、判断题(正确打√,错误的打×) 1.施密特触发器可用于将三角波变换成正弦波。() 2.施密特触发器有两个稳态。() 3.多谐振荡器的输出信号的周期与阻容元件的参数成正比。() 4.石英晶体多谐振荡器的振荡频率与电路中的R、C成正比。() 5.单稳态触发器的暂稳态时间与输入触发脉冲宽度成正比。() 6.单稳态触发器的暂稳态维持时间用t W表示,与电路中R C成正比。()7.采用不可重触发单稳态触发器时,若在触发器进入暂稳态期间再次受到触发,输出脉宽可在此前暂稳态时间的基础上再展宽t W。() 8.施密特触发器的正向阈值电压一定大于负向阈值电压。() 三、填空题 1.555定时器的最后数码为555的是产品,为7555的是产品。

数电实验 组合逻辑电路

实验报告 课程名称: 数字电子技术实验 指导老师: 成绩:__________________ 实验名称: 组合逻辑电路 实验类型: 设计型实验 同组学生姓名:__________ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 一.实验目的和要求 1. 加深理解典型组合逻辑电路的工作原理。 2. 熟悉74LS00、74LS11、74LS55等基本门电路的功能及其引脚。 3. 掌握组合集成电路元件的功能检查方法。 4. 掌握组合逻辑电路的功能测试方法及组合逻辑电路的设计方法。 5. 熟悉全加器和奇偶位判断电路的工作原理。 二.实验内容和原理 组合逻辑电路设计的一般步骤如下: 1.根据给定的功能要求,列出真值表; 2. 求各个输出逻辑函数的最简“与-或”表达式; 3. 将逻辑函数形式变换为设计所要求选用逻辑门的形式; 4. 根据所要求的逻辑门,画出逻辑电路图。 实验内容: 1. 测试与非门74LS00和与或非门74LS55的逻辑功能。 2. 用与非门74LS00和与或非门74LS55设计一个全加器电路,并进行功能测试。 专业: 电子信息工程 姓名: 学号: 日期: 装 订 线

3. 用与非门74LS00和与或非门74LS55设计四位数奇偶位判断电路,并进行功能测试。 三. 主要仪器设备 与非门74LS00,与或非门74LS55,导线,开关,电源、实验箱 四.实验设计与实验结果 1、一位全加器 全加器实现一位二进制数的加法,他由被加数、加数和来自相邻低位的进数相加,输出有全加和与向高位的进位。输入:被加数Ai,加数Bi,低位进位Ci-1输出:和Si,进位Ci 实验名称:组合逻辑电路 姓名:学号: 列真值表如下:画出卡诺图: 根据卡诺图得出全加器的逻辑函数:S= A⊕B⊕C; C= AB+(A⊕B)C 为使得能在现有元件(两个74LS00 与非门[共8片]、三个74LS55 与或非门)的基础上实现该逻辑函数。所以令S i-1=!(AB+!A!B),Si=!(SC+!S!C), Ci=!(!A!B+!C i-1S i-1)。 仿真电路图如下(经验证,电路功能与真值表相同):

可编程逻辑器件数字电子技术第章存储器与可编程逻辑器件习题及答案

可编程逻辑器件数字电子技术第章存储器与可编程逻辑器件习题及答 案

第8章 存储器与可编程逻辑器件 8.1存储器概述 自测练习 1.存储器中可以保存的最小数据单位是()。 2.(a)位(b)字节(c)字 3.指出下列存储器各有多少个基本存储单元?多少存储单元?多少字?字长多少? (a)2K×8位()()()() (b)256×2位()()()() (c)1M×4位()()()() 3.ROM是()存储器。 (a)非易失性(b)易失性 (c)读/写(d)以字节组织的 4.数据通过()存储在存储器中。 (a)读操作(b)启动操作 (c)写操作(d)寻址操作 5.RAM给定地址中存储的数据在()情况下会丢失。 (a)电源关闭(b)数据从该地址读出 2

(c)在该地址写入数据(d)答案(a)和(c) 6.具有256个地址的存储器有()地址线。 (a)256条(b)6条(c)8条(d)16条 7.可以存储256字节数据的存储容量是()。 (a)256×1位(b)256×8位 (c)1K×4位(d)2K×1位 答案: 1.a 2.(a)2048×8;2048;2048;8 (b)512;256;256;2 (c)1024×1024×4;1024×1024;1024×1024;4 3.a 4.c 5.d 6.c 7.b 8.2随机存取存储器(RAM) 自测练习 1.动态存储器(DRAM)存储单元是利用()存储信息的,静态存储器(SRAM)存 储单元是利用()存储信息的。

2.为了不丢失信息,DRAM必须定期进行()操作。 3.半导体存储器按读、写功能可分成()和()两大类。 4.RAM电路通常由()、()和()三部分组成。 5.6116RAM有()根地址线,()根数据线,其存储容量为()位。 答案: 1.栅极电容,触发器 2.刷新 3.只读存储器,读/写存储器 4.地址译码,存储矩阵,读/写控制电路 5.11,8,2K×8位 8.3只读存储器(ROM) 自测练习 1.ROM可分为()、()、()和()几种类型。 2.ROM只读存储器的电路结构中包含()、()和()共三个组成部分。 3.若将存储器的地址输入作为(),将数据输出作为(),则存储器可实现组合逻辑电路的功能。 4.掩膜ROM可实现的逻辑函数表达式形式是()。 5.28256型EEPROM有()根地址线,()根数据线,其存储容量为()位,是以字节数据存储信息的。 6.EPROM是利用()擦除数据的,EEPROM是利用()擦除数据的。 4

2014年杭州电子科技大学数字电路复习大纲

杭州电子科技大学数字电路课程期末复习提纲 考试时间:6月17日9:00~11:00 题型:填空题(2分×10=20分);选择题(2分×10=20分);简答题(6分×5分=30分); 综合设计题(10分×3分=30分) 注意:数字电路期末复习提纲仅供参考用 一、数字逻辑基础 1.脉冲波形的基本参数,如f(T)、t W、占空比等的基本概念等 2.数制之间的相互转换。 3.二进制的基本概念:如那些是有权码(2421码等)、无权码(余3码、格雷码)等二、逻辑门电路 1.基本逻辑运算与逻辑符号。 2.门电路的描述:表达式、真值表、逻辑符号 3.门电路的正负逻辑概念及等效变换。 4.辅助门电路的工作特点:如OC门和三态门 三、组合逻辑电路的分析与设计 1.逻辑代数的基本定律和恒等式、基本法则,对偶、反演等。 2.逻辑函数的卡诺图化简 四、组合逻辑电路的分析与设计 1.组合逻辑电路的分析与设计 2.组合逻辑电路的竞争与冒险,掌握消除竞争冒险的基本方法,抗干扰措施 3.重点掌握74LS138译码器、74LS151/74LS153数据选择器的功能及应用。能分析电路并设计电路(实现函数发生器)。 五、触发器 1.掌握基本RS触发器的简单电路 2.触发器的类型及特点,重点掌握边沿触发器(D-FF/JK-FF)的功能,能分析时序图六、时序逻辑电路的分析和设计 1.时序逻辑电路的基本概念:特点、分类、描述方法(逻辑方程式、状态转换表、状态转换图/时序图)等 2.掌握时序逻辑电路的分析和设计。 七、常用时序逻辑功能器件 1.掌握74LS161、74LS160基本功能,并能用以设计构成任意进制的计数器、分频器。 2.掌握74LS194的功能 八、半导体存储器和可编程逻辑器件 1.RAM的基本结构、RAM的特点及其扩展(字、位) 2.ROM的种类及其特点,及其扩展。能用PROM实现函数发生器 3.了解可编程逻辑器件PLD的类型及编程阵列的特点。了解GAL的工作模式(P124)。 九、A/D、D/A 1.D/A转换器的特点、类型、主要技术指标:转换精度、转换速度、温度系数 2.A/D转换器的特点、类型及特点,技术指标

发电厂电气部分最全第四章习题解答

第四章电气主接线最全答案 4-1 对电气主接线的基本要求是什么? 答:对电气主接线的基本要求是:可靠性、灵活性和经济性。 其中保证供电可靠是电气主接线最基本的要求。灵活性包括:操作、调度、扩建的方便性。经济性包括:节省一次投资,占地面积小,电能损耗少。 4-2 隔离开关与断路器的区别何在?对它们的操作程序应遵循哪些重要原则? 答:断路器具有专用灭弧装置,可以开断或闭合负荷电流和开断短路电流,故用来作为接通和切断电路的控制电器。而隔离开关没有灭弧装置,其开合电流极小,只能用来做设备停用后退出工作时断开电路。 4-3 防止隔离开关误操作通常采用哪些措施? 答:为了防止隔离开关误操作,除严格按照规章实行操作票制度外,还应在隔离开关和相应的断路器之间加装电磁闭锁和机械闭锁装置或电脑钥匙。 4-4 主母线和旁路母线各起什么作用?设置专用旁路断路器和以母联断路器或者分段断路器兼作旁路断路器,各有什么特点?检修出线断路器时,如何操作? 答:主母线主要用来汇集电能和分配电能。旁路母线主要用与配电装置检修短路器时不致中断回路而设计的。设置旁路短路器极大的提高了可靠性。而分段短路器兼旁路短路器的连接和母联短路器兼旁路断路器的接线,可以减少设备,节省投资。当出线和短路器需要检修时,先合上旁路短路器,检查旁路母线是否完好,如果旁路母线有故障,旁路断路器在合上后会自动断开,就不能使用旁路母线。如果旁路母线完好,旁路断路器在合上就不会断开,先合上出线的旁路隔离开关,然后断开出线的断路器,再断开两侧的隔离开关,有旁路短路器代替断路器工作,便可对短路器进行检修。 4-5 发电机-变压器单元接线中,在发电机和双绕作变压器之间通常不装设断路器,有何利弊? 答:发电机和双绕组变压器之间通常不装设断路器,避免了由于额定电流或短路电流过大,使得在选择出口断路器时,受到制造条件或价格等原因造成的困难。但是,变压器或者厂用变压器发生故障时,除了跳主变压器高压侧出口断路器外,还需跳发电机磁场开关,若磁场开关拒跳,则会出现严重的后果,而当发电机定子绕组本身发生故障时,若变压吕高压侧失灵跳闸,则造成发电机和主变压器严重损坏。并且发电机一旦故障跳闸,机组将面临厂用电中断的威胁。

微机原理 存储器练习题(优选.)

1、现有EPROM芯片2732(4KX8位),以及3-8译码器74LS138,各种门电路若干,要求在8088CPU上扩展容量为16KX8 EPROM内存,要求采用部分译码, 不使用高位地址线A 19、A 18 、A 15 ,选取其中连续、好用又不冲突的一组地址,要 求首地址为20000H。请回答: 1)2732的芯片地址线、数据线位数是多少?(2分)2)组成16KX8需要2732芯片多少片?(1分) 3)写出各芯片的地址范围。(4分)

1)地址线12根,数据线8根; 2)4片; 3)1# 20000H~20FFFH 2# 21000H~21FFFH 3# 22000H~22FFFH 4# 23000H~23FFFH 2、有一个2732EPROM(4KX8)芯片的译码电路如下图所示,试求: ①计算2732芯片的存储容量; ②给出2732芯片的地址范围; ③是否存在地址重叠区? ① 4KB ②08000H---09FFFH ③存在重叠区08000H---08FFFH 09000H---09FFFH 3、某CPU有地址线16根(A0~A15),数据线8根(D0~D7)及控制信号RD、WR、MERQ(存储器选通)、IORQ(接口选通)。如图所示,利用RAM芯片2114(1KX4)扩展成2KX8的内存,请写出芯片组1和芯片组2的地址范围。

1 G MERQ 11A 12A 13 A 14A 15A & A G 2 B G 21 Y C 13874LS B 0 Y 1#2114 CS 2#2114 CS 3#2114 CS 4#2114 CS 第1组 第2组 WR RD 47~D D 0 9~A A 03~D D A 10 A 答:第1组:C000H~C3FFH 第2组:C400H~C7FFH

杭州电子科技大学数字电路期末考试试卷及答案

8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz C . 100KHz D .50KHz 13.给36个字符编码,至少需要____6______位二进制数。 19.T 触发器的特性方程是___n n Q T Q ⊕=+1_____,当T=1时,特性方程为___n n Q Q =+1_____,这时触发器可以用来作___2分频器_____。 20.构造一个十进制的异步加法计数器,需要多少个 __4____触发器。计数器的进位Cy 的频率与计数器时钟脉冲CP 的频率之间的关系是____1﹕10_________。 21.(本题满分6分)用卡诺图化简下列逻辑函数 ∑ =)15,14,13,12,10,9,8,2,1,0(),,,(m D C B A F 解:画出逻辑函数F 的卡诺图。得到 D B D A C B C A AB F ++++= 22. (本题满分8分)电路如图所示,D 触发器是正边沿触发器,图中给出了时钟CP 及输入K 的波形。 (1)试写出电路次态输出1+n Q 逻辑表达式。(2)画出Q Q ,的波形。

由出真值表写出逻辑函数表达式,并化简 )(B A C C A C B A BC A C B A C B A F ⊕+=++ += 画出逻辑电路图 四、综合应用题(每小题10分,共20分) 25.3-8译码器74LS138逻辑符号如图所示,S1、2S 、3S 为使能控制端。试用两片74LS138构成一个4-16译码器。要求画出连接图说明设计方案。 装 订

模电第四章答案

第4章 集成运算放大电路 自测题 一、选择合适答案填入空内。 (1)集成运放电路采用直接耦合方式是因为( C )。 A.可获得很大的放大倍数 B.可使温漂小 C.集成工艺难于制造大容量电容 (2)通用型集成运放适用于放大( B )。 A.高频信号 B.低频信号 C.任何频率信号 (3)集成运放制造工艺使得同类半导体管的( C )。 A.指标参数准确 B.参数不受温度影响 C.参数一直性好 (4)集成运放的输入级采用差分放大电路是因为可以( A )。 A.减小温漂 B.增大放大倍数 C.提高输入电阻 (5)为增大电压放大倍数,集成运放的中间级多采用( A )。 A.共射放大电路 B.共集放大电路 C.共基放大电路 二、判断下列说法是否正确,用“√”和“×”表示判断结果。 (1)运放的输入失调电压U IO 是两输入端电位之差。( × ) (2)运放的输入失调电流I IO 是两输入端电流之差。( √ ) (3)运放的共模抑制比c d CMR A A K = 。( √ ) (4)有源负载可以增大放大电路的输出电流。( √ ) (5)在输入信号作用时,偏置电路改变了各放大管的动态电流。( × ) 三、电路如图 所示,已知β1=β2=β3= 100 。各管的U BE 均为 , 试求I C 2的值。 解:分析估算如下: 21 100CC BE BE R V U U I A R μ--= = 00202211B B B B I I I I ββ ββ ++= =++; 020 2( )1R B B B I I I I β βββ+=+=++ 图 22021C B B I I I β ββ β +==?+。比较上两式,得 2(2) 1002(1) C R R I I I A ββμβββ+= ?≈=+++ 四、电路如图所示。

数电实验课程总结报告

数电实验课程总结报告 不知不觉,一个学期已经过去,数电实验这门课也即将结束。回顾这个学期以来在数电实验课程中的学习,我发现自己既收获了很多,也付出了很多。 数电实验是一门结合理论并有所创新的课程。实验一——数字集成电路功能与特性测试让我熟悉了几个常用芯片74LS247、74LS163与74LS00。一方面数电理论课正好进行到这部分的内容,这次实验的学习让我更好的理解理论课的知识。另一方面,在接下来的实验三中,我需要用到其中的芯片与显示电路,这为接下来的实验做好了铺垫。实验二开始我们就与FPGA接触了。作为一个电子信息工程专业的学生,今后的研究与学习肯定会需要使用到FPGA,所以实验二与实验三的实际应用意义是很大的。 经过简单的熟悉QuartusII软件后,我们开始了最为重要的实验三——多功能数字钟的设计。可以说,实验三是本课程的核心所在。实验三耗时一个多月,我们经历了一个完整的开发周期。从数字钟功能设想到方案论证,再到软件编写与硬件焊接,再到最后的整机测试。我投入了大量的时间与精力,最后做出了集闹钟、报时、校时、秒表、倒计时、日期显示、12——24小时制转换等功能的多功能数字钟。在数字钟设计的过程中,我遇到了很多的问题。一开始我是用的是纯VHDL语言编写的方案开发数字钟,可是随着功能逐渐增多,我发现语言编写并不能很容易的加减功能。而且一旦在仿真中发现问题,我很难从源文件中查找出问题所在。于是在离验收日期只有一个星期的时候,我毅然选择了推到重来,放弃已有的程序,重新使用顶层原理图加底层VHDL语言的方案开发。后来的结果证明,这种方案不仅思路清晰,易于增减功能、检查错误,也能在一定程度上节约内部资源。最后,我花了4个晚上重新编写好软件程序,花了一个晚上焊接硬件并组装调试。这次成功的经验大大提升了我的信心,也让我懂得了敢于放弃,不怕重来的道理。 总的来说,本次数电实验课程让我收获很多。我会在今后的学习中更加努力。 最后,感谢老师一个学期以来的教导,祝老师身体健康,万事如意!

5大规模数字集成电路习题解答

自我检测题 1.在存储器结构中,什么是“字”什么是“字长”,如何表示存储器的容量 解:采用同一个地址存放的一组二进制数,称为字。字的位数称为字长。习惯上用总的位数来表示存储器的容量,一个具有n字、每字m位的存储器,其容量一般可表示为n ×m位。 2.试述RAM和ROM的区别。 解:RAM称为随机存储器,在工作中既允许随时从指定单元内读出信息,也可以随时将信息写入指定单元,最大的优点是读写方便。但是掉电后数据丢失。 ROM在正常工作状态下只能从中读取数据,不能快速、随时地修改或重新写入数据,内部信息通常在制造过程或使用前写入, 3.试述SRAM和DRAM的区别。 解:SRAM通常采用锁存器构成存储单元,利用锁存器的双稳态结构,数据一旦被写入就能够稳定地保持下去。动态存储器则是以电容为存储单元,利用对电容器的充放电来存储信息,例如电容器含有电荷表示状态1,无电荷表示状态0。根据DRAM的机理,电容内部的电荷需要维持在一定的水平才能保证内部信息的正确性。因此,DRAM在使用时需要定时地进行信息刷新,不允许由于电容漏电导致数据信息逐渐减弱或消失。 4.与SRAM相比,闪烁存储器有何主要优点 解:容量大,掉电后数据不会丢失。 5.用ROM实现两个4位二进制数相乘,试问:该ROM需要有多少根地址线多少根数据线其存储容量为多少 解:8根地址线,8根数据线。其容量为256×8。 6.简答以下问题: (1)CPLD和FPGA有什么不同 FPGA可以达到比 CPLD更高的集成度,同时也具有更复杂的布线结构和逻辑实现。FPGA 更适合于触发器丰富的结构,而 CPLD更适合于触发器有限而积项丰富的结构。 在编程上 FPGA比 CPLD具有更大的灵活性;CPLD功耗要比 FPGA大;且集成度越高越明显;CPLD比 FPGA有较高的速度和较大的时间可预测性,产品可以给出引脚到引脚的最大延迟时间。CPLD的编程工艺采用 E2 CPLD的编程工艺,无需外部存储器芯片,使用简单,保密性好。而基于 SRAM编程的FPGA,其编程信息需存放在外部存储器上,需外部存储器芯片 ,且使用方法复杂,保密性差。 (2)写出三家CPLD/FPGA生产商名字。 Altera,lattice,xilinx,actel 7.真值表如表所示,如从存储器的角度去理解,AB应看为地址,F0F1F2F3应看为数据。 表

数电实验报告

学生实验实习报告册 学年学期:2019 -2020 学年?春?秋学期 课程名称:数字电路与逻辑设计实验A 实验项目:基于FPGA的数字电子钟的设计与实现 姓名:康勇 学号:2018211580 学院和专业:计算机科学学院计算机科学与技术专业 班级:04911801 指导教师:罗一静 重庆邮电大学教务处制

1.系统顶层模块设计 本项目分为四个模块,分别为分频模块、计时模块、数码管动态显示模块、按键消抖模块。功能包括:基本时钟功能,整点报时功能,手动校时功能,秒表功能,小数点分割时分秒功能等。 设计思路如下: 图表 1数字时钟系统顶层模块设计思路 设计结果: 图表2数字时钟系统顶层模块设计电路图 2.分频模块电路设计及仿真 (1)模块功能

将输入的频率为50MHz的时钟信号利用74390通过2、5、100分频,对输入信号进行逐级分频。 (2)设计思路 图表3分频模块电路设计思路 (3)设计结果(电路) 图表4分频模块电路设计图 图表5模100电路图 (4)测试结果 图表6模100仿真图 图表7模5仿真图

图表8模2仿真图 3.计时模块设计及仿真 本模块主要功能是实现电子时钟计数功能。 图表9计时模块顶层设计电路图 3.1分、秒计时模块(模60计数) (1)模块功能(计数、进位) 电子时钟的分钟位和秒钟位均采用模60计数; 计数功能:从0到59; 进位功能:当计数记到59的时候,输出一个进位信号。 (2)设计思路 模60计数器可以通过一个模6计数器和一个模10计数器组成,由分钟位和秒位的特性可知,可以用模10计数器为个位,模6计数器为十位。当个位到9后,向十位进一。本模块使用器件74160。 计数功能:74160是十进制同步计数器(异步清零),为实现计数功能,首先将74160的LDN 反,CLRN反,ENT,ENP接高位,再接入时钟脉冲信号CLK,即可完成下图左侧(个位)模

第四章 电位分析法习题解答知识交流

第四章电位分析法习 题解答

第四章电位分析法 1.M1| M1n+|| M2m+| M2在上述电池的图解表示式中,规定左边的电极为( ) (1) 正极 (2) 参比电极 (3) 阴极 (4) 阳极 解:(4) 2. 下列强电解质溶液在无限稀释时的摩尔电导λ∞/S·m2·mol-1分别为: λ∞(NH4Cl)=1.499×10-2,λ∞(NaOH)=2.487×10-2,λ∞(NaCl)=1.265×10-2。所以NH3·H2O 溶液的λ∞(NH4OH) /S·m2·mol-1为( ) (1) 2.721×10-2 (2) 2.253×10-2 (3) 9.88 ×10-2 (4) 1.243×10-2 解:(1) 3.钾离子选择电极的选择性系数为,当用该电极测浓度为 1.0×10-5mol/L K+,浓度为 1.0×10-2mol/L Mg溶液时,由 Mg引起的 K+测定误差为( ) (1) 0.00018% (2) 1.34% (3) 1.8% (4) 3.6% 解:(3) 4. 利用选择性系数可以估计干扰离子带来的误差,若,干扰离子的浓度为0.1mol/L,被测离子的浓度为 0.2mol/L,其百分误差为(i、j均为一价离子)( ) (1) 2.5 (2) 5 (3) 10 (4) 20 解:(1) 5.下列说法中正确的是:

晶体膜碘离子选择电极的电位( ) (1) 随试液中银离子浓度的增高向正方向变化 (2) 随试液中碘离子浓度的增高向正方向变化 (3) 与试液中银离子的浓度无关 (4) 与试液中氰离子的浓度无关 解:(1) 6.玻璃膜钠离子选择电极对氢离子的电位选择性系数为 100,当钠电极用于测定1×10-5mol/L Na+时,要满足测定的相对误差小于 1%,则试液的 pH 应当控制在大于 ( ) (1) 3 (2) 5 (3) 7 (4) 9 解:(4) 7.离子选择电极的电位选择性系数可用于( ) (1) 估计电极的检测限 (2) 估计共存离子的干扰程度 (3) 校正方法误差 (4) 计算电极的响应斜率 解:(2) 8.在电位滴定中,以?E/?V-V(?为电位,V为滴定剂体积)作图绘制滴定曲线, 滴定终点为:( ) (1) 曲线的最大斜率(最正值)点 (2) 曲线的最小斜率(最负值)点 (3) 曲线的斜率为零时的点

数字电子技术第五版阎石 第五版第6章的 习题答案

第六章习题课后 一、选择题 1.PROM和PAL的结构是。 A.PROM的与阵列固定,不可编程 B. PROM与阵列、或阵列均不可编程 C.PAL与阵列、或阵列均可编程 D. PAL的与阵列可编程 2.PAL是指。 A.可编程逻辑阵列 B.可编程阵列逻辑 C.通用阵列逻辑 D.只读存储器3.当用异步I/O输出结构的PAL设计逻辑电路时,它们相当于。 A.组合逻辑电路 B.时序逻辑电路 C.存储器 D.数模转换器 4.PLD器件的基本结构组成有。 A.输出电路 B.或阵列 C. 与阵列 D. 输入缓冲电路 5.PLD器件的主要优点有。 A.集成密度高 B. 可改写 C.可硬件加密 D. 便于仿真测试 6.GAL的输出电路是。 A.OLMC B.固定的 C.只可一次编程 D.可重复编程 7.PLD开发系统需要有。 A.计算机 B. 操作系统 C. 编程器 D. 开发软件 8.只可进行一次编程的可编程器件有。 A.PAL B.GAL C.PROM D.PLD 9.可重复进行编程的可编程器件有。 A.PAL B.GAL C.PROM D.ISP-PLD 10.ISP-PLD器件开发系统的组成有。 A.计算机 B.编程器 C.开发软件 D.编程电缆 11.全场可编程(与、或阵列皆可编程)的可编程逻辑器件有。 A.PAL B.GAL C.PROM D.PLA 12.GAL16V8的最多输入输出端个数为。 A.8输入8输出 B.10输入10输出 C.16输入8输出 D.16输入1输出

13一个容量为1K×8的存储器有个存储单元。 A.8 B. 8192 C.8000 D. 8K 14.要构成容量为4K×8的RAM,需要片容量为256×4的RAM。 A. 8 B.4 C. 2 D.32 15.寻址容量为16K×8的RAM需要根地址线。 A. 8 B. 4 C.14 D.16K E. 16 16.RAM的地址码有8位,行、列地址译码器输入端都为4个,则它们的字线加位线共有条。 A.8 B.16 C.32 D.256 17.某存储器具有8根地址线和8根双向数据线,则该存储器的容量为。 A.8×3 B.8K×8 C.256×8 D. 256×256 18.采用对称双地址结构寻址的1024×1的存储矩阵有。 A.10行10列 B.5行5列 C.32行32列 D.1024行1024列 19.随机存取存储器具有功能。 A.读/写 B.无读/写 C.只读 D.只写 20.欲将容量为128×1的RAM扩展为1024×8,则需要控制各片选端的辅助译码器的输出端数为。 A.1 B.2 C.3 D.8 21.欲将容量为256×1的RAM扩展为1024×8,则需要控制各片选端的辅助译码器的输入端数为。 A.4 B.2 C.3 D.8 22.只读存储器ROM在运行时具有功能。 A.读/无写 B.无读/写 C.读/写 D.无读/无写 23.只读存储器ROM中的内容,当电源断掉后又接通,存储器中的内容。 A.全部改变 B.全部为0 C.不可预料 D.保持不变 24.随机存取存储器RAM中的内容,当电源断掉后又接通,存储器中的内容。 A.全部改变 B.全部为1 C.不确定 D.保持不变 25.一个容量为512×1的静态RAM具有。 A.地址线9根,数据线1根 B.地址线1根,数据线9根 C.地址线512根,数据线9根 D.地址线9根,数据线512根 26.用若干RAM实现位扩展时,其方法是将相应地并联在一起。

第3章习题

1 EEPROM是指(D )。 A 读写存储器 B 只读存储器 C 闪速存储器 D 电擦除可编程只读存储器 2 常用的虚拟存储系统由( B )两级存储器组成,其中辅存是大容量的磁表面存储器。 A cache-主存 B 主存-辅存 C cache-辅存 D 通用寄存器 -cache 3 某计算机字长32位,其存储容量为256MB,若按单字编址,它的寻址范围是( D )。 A 64M B B 32MB C 32M D 64M 4 主存贮器和CPU之间增加cache的目的是( A )。 A 解决CPU和主存之间的速度匹配问题 B 扩大主存贮器容量 C 扩大CPU中通用寄存器的数量 D 既扩大主存贮器容量,又扩大CPU中通用寄存器的数量 5 某DRAM芯片,其存储容量为512K×8位,该芯片的地址线和数据线的数目是( D )。512 * 1024 B A 8,512 B 512,8 C 18,8 D 19,8 6 交叉存储器实质上是一种多模块存储器,它用( A )方式执行多个独立的读写操作。 A 流水 B 资源重复 C 顺序 D 资源共享 7某微型计算机系统,其操作系统保存在硬磁盘上,其内存储器应该采用(C ) A RAM B ROM C RAM和ROM D CCD 8 某SRAM芯片,其容量为1M×8位,除电源和接地端外,控制端有E和R/W#,该芯片的管脚引出线数目是( D )。 A 20 B 28 C 30 D 32 9 双端口存储器所以能进行高速读/写操作,是因为采用( D )。 A 高速芯片 B 新型器件 C 流水技术 D 两套相互独立的读写电路 10 存储单元是指(B )。 A 存放1个二进制信息位的存储元 B 存放1个机器字的所有存储元集合 C 存放1个字节的所有存储元集合 D 存放2个字节的所有存储元集合

数字电子技术基础教材第四章答案

习题4 4-1 分析图P4-1所示的各组合电路,写出输出函数表达式,列出真值表,说明电路的逻辑功能。 解:图(a ):1F AB =;2 F A B =e ;3F AB = 真值表如下表所示: A B 1F 2F 3F 0 0 0 1 0 0 1 0 0 1 1 0 1 0 0 1 1 1 其功能为一位比较器。A>B 时,11F =;A=B 时,21F =;A

功能:一位半加器,1F 为本位和,2F 为进位。 图(c ):1(0,3,5,6)(1,2,4,7)F M m = =∑∏ 2(0,1,2,4)(3,5,6,7)F M m ==∑∏ 真值表如下表所示: 功能:一位全加器,1F 为本位和,2F 为本位向高位的进位。 图(d ):1F AB =;2 F A B =e ;3F AB = 功能:为一位比较器,AB 时,3F =1 4-2 分析图P4-2所示的组合电路,写出输出函数表达式,列出真值表,指出该电路完成的逻辑功能。

解:该电路的输出逻辑函数表达式为: 100101102103F A A x A A x A A x A A x =+++ 因此该电路是一个四选一数据选择器,其真值表如下表所示: 1A 0A F 0 0 0x 0 1 1x 1 0 2x 1 1 3x 4-3 图P4-3是一个受M 控制的代码转换电路,当M =1时,完成4为二进制码至格雷码的转换;当M =0时,完成4为格雷码至二进制的转换。试分别写出0Y ,1Y ,2Y ,3Y 的逻辑函数的表达式,并列出真值表,说明该电路的工作原理。

相关文档 最新文档