文档库 最新最全的文档下载
当前位置:文档库 › 交通灯数电课程设计报告

交通灯数电课程设计报告

交通灯数电课程设计报告
交通灯数电课程设计报告

《电子技术》课程设计报告

题目简易交通信号灯控制器

学院(部)

专业

班级

学生姓名

学号

6 月18 日至 6 月22 日共 1 周

指导教师(签字)

摘要

交通运输是国家经济发展的动力,日常出行也是我们生活中不可或缺的一部分。在确保十字路口的交通秩序工作中,信号灯扮演了极其重要的角色。交通信号控制系统是用来自动控制十字路口红黄绿三色的电子系统。

交通灯简易交通信号灯控制器利用555秒脉冲发生器提供秒脉冲CP信号,为计数器提供工作频率。计数器由两块74LS190级联构成八位二进制,实现倒计数;显示电路由两个十六进制数码管构成,可以显示00-99之间的数字;控制电路由74LS161构成的循环电路控制三种灯的开关;置数电路由相应数量的74LS465与74LS138译码器构成置位电路使得主干道在45-0秒为绿灯,5-0秒时为黄灯,30-0秒时为红灯,相应的次干道与主干道相配合,在50-0秒时为红灯,在25-0秒时为绿灯,在5-0秒时为黄灯,通过共用CP脉冲,实现对主干道与支干道交通信号灯的联合控制。

关键字

根据设计原理,系统的关键字有以下几个:

交通信号灯秒脉冲递减计数分时置数控制器

目录

1.课题名称以及设计要求 (4)

1.1课题名称 (4)

1.2设计要求 (4)

2.系统概述 (4)

2.1总体方案的选择 (4)

2.2系统总体结构框架 (5)

2.3系统基本工作原理 (6)

3.单元电路设计与分析 (7)

3.1 秒脉冲发生器单元 (7)

3.2倒计数以及显示单元 (8)

3.3 信号灯控制单元 (9)

3.4 顺序定时置数置数单元 (10)

3.5 黄灯闪烁以及蜂鸣提示单元 (12)

4.系统仿真 (13)

4.1仿真说明 (13)

4.2 仿真示意图 (14)

5.系统综述以及总体电路图 (15)

5.1系统综述 (15)

5.2 总体电路图 (17)

6.结束语 (18)

7.元件明细表 (18)

7.1 元件明细列表 (18)

7.2 元件说明 (19)

8.参考文献 (19)

9.收获体会与总结 (20)

9.1收获体会 (20)

9.2问题反思以及对未来交通信号控制的思考 (20)

10.鸣谢 (21)

一.课题名称以及设计要求

1.1课题名称

简易交通灯信号控制器

1.2设计要求

简易交通信号灯控制,主要实现功能如下:

1. 定周控制:主干道绿灯45秒,支干道绿灯25秒;

2. 每次由绿灯变为红灯时,应有5秒黄灯亮作为过渡;

3. 分别用红、黄、绿色发光二级管表示信号灯;

4. 设计计时显示电路。

功能扩展:

1.黄灯亮的时候伴随黄灯闪灯和蜂鸣提示;

2.考虑到主干道与次干道车流量的变化,为了人性化的控制交通信号灯,可

以自由设定主干道和次干道各个信号灯的亮变时间,在0-99范围内变化。二.系统概述

2.1总体方案的选择

经过我们上网查资料以及在图书馆借阅相关的领域知识,我们得出以下三种可以实现本次课设目的的方案,方案如下:

方案一:由主控制电路和秒脉冲发生器组成,其中主控制电路包括:主控制器、清零装置、驱动装置、信号灯装置及一些逻辑门。主控制器中采用两块74LS290二-五-十进制来实现八十进制计数器。秒脉冲发生器由555秒脉冲发生器负责提供脉冲信号。接通电源瞬间,清零装置将主控制器清零,紧接着,主干道绿灯和支干道红灯打开,其余主、支道灯关闭。秒脉冲传送到控制器,主控制电路在45s到,50s到,75s 到,80s到分别产生翻转信号,从而改变主、支道绿、黄、红灯的开闭持续时间,继而实现交通信号灯控制。

方案二:由定时器NE555构成的多谐振荡器产生秒脉冲,两块74LS192芯片级联成61进制倒计时器,计时器输出的数据通过两块74LS48译码器和两块七段数码管显示出来。由倒计时器与逻辑门构成定时器,在每隔55秒或5秒输出一个脉冲,触发状态控制器工作。状态控制器控制着信号灯的转换。

方案三:主要有秒脉冲产生模块,倒计时模块,控制信号灯模块,定时置数模块构成。秒脉冲产生模块采用555定时器构成的多谢震荡器产生周期为一秒的脉冲,提供给计数器工作,达到计时的目的;倒计时模块采用两片74LS190级联构成一个倒计时计数的电路,通过使用来自秒脉冲的CP 脉冲以及定时置数模块的置数功能,来完成倒计时并且实时显示在LED 数码显示器上;控制信号灯模块,接收来自计数模块倒计时为零时的信号,通过74LS161的循环计数功能,配合相应的门电路完成三种信号灯之间的交替亮灭;顺序置数模块采用三片74LS465以及74LS138译码器组成,接收信号灯亮灭的信号来实现对计数器的置数。

方案三的思路比较清晰,里面的元器件自己在课堂上都比较熟悉,而且有很大的发挥空间,可以增加一些比较华丽的功能模块,综合以上,我们最终选择了方案三来作为我们本次系统设计的最终方案。 2.2 系统总体结构框架

简易交通信号灯控制系统主要有以下几个重要的模块够构成:1.秒脉冲产生模块2.倒计时及显示模块,3.信号灯控制模块,4.顺序置数模块,5.功能扩展模块(黄灯闪烁以及蜂鸣报警);

本系统的总体结构框架如下图所示:

图2.1系统的总体结构框架图

2.3系统基本工作原理

本系统采用主干道和支干道分开实现功能,但又相互配合的思路,用时间的相关性将主干道与支干道的信号灯的亮灭相联系起来。

根据系统的要求:主干道绿灯45秒,支干道绿灯25秒;每次由绿灯变为红灯时,应有5秒黄灯亮作为过渡;则其时间循环图如下所示:

图2.2 主干道时间循环图

图2.3 支干道时间循环图

对于其中一条干道,系统的工作流程图如下所示:

图2.4系统工作信号流程图

系统工作原理如下:初始状态,对于主干道,计数器预置数45秒,在CP脉冲的作用下开始进行倒计时,当倒计数至零时,可以对信号灯控制电路产生一个上升沿的单脉冲,该脉冲将作为74LS161的脉冲输入,完成一次计数,实现对绿灯,黄灯和红灯的亮灭的控制,此时红灯亮,当三种信号灯完成一次状态变化时,将变化的信号传送给74LS138译码器,由该译码器选中相应的74LS465预置的倒计时数,并将该倒计数置给计数器74LS190,完成一次工作循环,然后是5秒的黄灯,最后是30秒的红灯。对于支干道而言,循环时间是50秒,25秒,5秒,其具体的循环过程与主干道一致。三.单元电路设计与分析

3.1秒脉冲发生电路

秒脉冲发生电路是该系统的时间动力,提供计数器的工作时钟频率,从而完成倒计数模块信号传递。

秒脉冲发生电路时由555定时器构成的多谐振荡器。因为控制系统是以秒作为单位,所以用秒脉冲发生器且对信号的精度要求不高,故选用555定时器构成。其工作原理图如下所示:

555定时器周期计算:

T1=(R1+R2)Cln2=0.7(R1+R2)C

T2=R2Cln2=0.7R2C

T=T1+T2=(R1+2R2)Cln2=0.7(R1+2R2)C

555定时器组成的秒脉冲Cp的周期为1s,即T=1,所以可设置参数

R1= 57.72k R2=28.86k C=10uF Cf=10uF 说明:在电路仿真的过程中,为了保证仿真的准确性,该模块产生的脉冲信号可以由信号发生器来代替。

3.2倒计数以及显示单元

十字路口要有数字显示作为倒计时提示,以便人们更直接准确地把握时间。具体工作方式为:当某方向绿灯亮时,置显示器为某值,然后以每秒减1计数方式工作,直到减到数为“0”,产生一个标志信号,控制十字路口绿、黄、红变换,一次工作循环结束,进入下一个某方向的工作循环。

倒计时的显示由四输入的DCD—HEX数码显示,显示计数器的输出值。

有多种减法计数器可供选择,例如74190,74191,74192,74193等等。在这里,计数器我们选用集成电路74190进行设计,是比较简便的。通过两种接线我们来实现倒计时计数器,74190是十进制同步可逆计数器,它具有异步并行置数功能,保持功能。74190没有专用的清零输入端,但可以借助Q A 、Q B、Q C、Q D的输入数据间接清零功能。功能表如下:

表3—1 74190的功能表

图3.6 74LS190的管脚图

要实现45s/25s/5s的倒计时,需要选用两个74190芯片级联成一个从99倒计到00的计数器。两片计数器之间采用异步级联的方式,利用个位计数器的借位输出脉冲(RCO′)直接作为十位计数器的计数脉冲(CLK),个位计数器输入秒脉冲作为计数脉冲。选用两只带译码功能的七段显示数码管实现两位十进制数的显示。D1﹑C1﹑B1﹑A和D0﹑C0﹑B0﹑A0是十位和个位计数器的8421BCD码置数输入端。由74LS190的功能表可知,该计数器在零状态时RCO′端通过或门控制两片计数器的控制端LOAD′(低电平有效),从而实现了计数器减计数至“00”状态瞬间完成置数的要求。通过8421BCD码置数输入端,可以选择100以内的数值,实现0~100秒内自由选择的定时要求。

其电路连接图如下所示:

图3.7倒计数以及显示单元电路图

分析:

每当该模块的计数到01时候,通过或非门的连接可以得出以下的输出信号:即,未倒计数至01时信号输出低电平,当计数至01时,产生高电平,由此过程产

生一个上升沿的单脉冲,该脉冲传送至下个模块:信号灯控制芯片:74LS161,作

为该芯片的工作时钟。

3.3 信号灯控制单元

信号灯控制模块,当相应的倒计时到00时,完成信号灯的转换,用于标示交通路口的通行状态。

本模块采用74LS161芯片,配合相应的门电路完成三种信号灯的轮流转换。

在本系统中,通过反馈清零法,使该计数器工作在0000-0001—0010三个状态循

环每次接收到来自倒计数的一个脉冲,该计数器进行一次计数。

G(1,0)=绿灯(亮,灭),Y(1,0)=黄灯(亮,灭),R(1,0)=红灯(亮,灭);则其相应的表达式为:

对于主干道:

G(0)=QA+QB;Y(1)=QA;R(1)=QB;

对于次干道:

R(0)=QA+QB;G(1)=QA;Y(1)=QB;

其中,74LS161真值表如下表3-2所示:

表3-2 74160的功能表

说明:

该模块接受的信号来自倒计时计数电路的上升沿脉冲,传出的信号000-001-010三个信号,传送至定时预置数模块。则该模块的电路图如下所示:

图3.8信号灯控制单元

3.4 顺序定时置数单元

因为数码管每次显示的时间是从不同时间基点开始的(主干道45、5、30,支干道

50、25、5),所以必须要有一个顺序定时置数单元,来控制当某一个数码管显示“0”后,下一步要从哪个数字开始倒计时。在这里可以选择74465来实现这一功能。

每个干道选用三片74465组成按顺序定时置数的控制电路,三片74465输入端分别以8421BCD码的形式由按键设定主、支干道的通行时间和黄灯亮的时间。输出端按照高、低位对应关系并联并按照Y8~Y1由高到低排列后,接到倒计时计数器的置数输入端。

三片74465的选通控制端分别有对应状态的上一状态选通(低电平有效),从而完成对倒计时计数器的预置数。每一组的三片74465中任何时刻只能有一片选通,其他两片输出端均处于高阻态。这样就完成了顺序定时置数的功能。

其中,74465的功能表如下表所示:

图3.8 74465的功能表

倒计时计数器与信号灯转换器的配合主要靠顺序定时置数控制电路。根据系统的设计要求,主干道的绿灯亮的时间是45秒,可以为第一个74465设置为01000101,黄灯亮的时间是5秒,则给第二个74465设置为00000101,红灯亮的时间是30秒,则要给第三个74465预置为00110000;支干道的红灯亮的时间是50秒,可以为第一个74465设置为01010000,绿灯亮的时间是25秒,则给第二个74465设置为00100101,黄灯亮的时间是05秒,则要给第三个74465预置为00000101,他们的顺序由74LS138译码器来控制,74LS138的功能表如下表2-3所示。

74LS138译码器接收来自三个信号灯变化状态时的电平高低变化,如对主干道来说,绿灯时为000,黄灯时001,红灯时010,经74LS138译码器译码后分别选中第一第二第三片74465,进而可以给倒计时电路预置初始的倒计时数。

在设计该电路模块的时候,为了方便以后调节时间,特别采用可以调节定时时间的拨码开关来控制所置的数,定时预置数功能模块的电路图3.9如下所示:

图3.9 定时预置数功能模块电路图

说明:该电路的信号来源来自于信号灯的控制电路,输出的为倒计时电路提供与预置数,完成控制相应灯的闪亮时间。

3.5 黄灯闪烁以及蜂鸣提示单元

我们要求黄灯点亮的时候,黄灯进行闪烁,用于提醒交通通过者,故用一个频率为1Hz的脉冲与控制黄灯的输出信号用一个与门连接至黄灯,即可实现黄灯闪烁的效果!

同时将黄灯的输出信号接给蜂鸣器,即可在黄灯闪烁的同时使蜂鸣器发出声响!提醒

路人:黄灯亮了,请等一等!

如下为黄灯闪烁以及蜂鸣提示单元电路图:

图3.10黄灯闪烁以及蜂鸣提示单元电路图

说明:该电路模块的信号来源有黄灯的点亮信号控制,配合相应的频率发生器,实现闪烁与蜂鸣报警的功能。

四.系统仿真

4.1 仿真说明

对于该电路的整体仿真,说明如下:

1.初始化时主干道绿灯开始亮,时间为45秒,此时次干道红灯亮,时间是

50秒;当主干道倒计时完成时,黄灯闪烁并且蜂鸣报警,此时次干道的

红灯仍然亮,时间剩余5秒;当主干道的黄灯闪烁时间到零的时候,主

干道的红灯点亮,时间是30秒,次干道的绿灯点亮,时间是25秒;当

次干道的时间为零时候,其黄灯点亮闪烁并且蜂鸣报警,此时,主干道

的红灯仍然点亮,时间是5秒。

2.为了便于电路的仿真准确性,秒脉冲发生装置用信号发生器来代替,便于

电路的布局,增加了电路仿真的准确性。

4.2 系统仿真示意图

1.循环过程一:初始化时,主干道显示时间45秒,亮灯绿灯,次干道显示50

秒时间,亮红灯,确保主干道上正常通行,次干道上禁行,其仿真结果如下图 4.1

所示:

图4.1 循环过程一初始化电路显示仿真图

2.循环过程二:主干道显示时间5秒,亮灯黄灯,次干道显示5秒时间,亮红灯,确保提醒主干道上注意“等一等”,次干道上禁行,其仿真结果如下图4.2所示:

图4.2 循环过程二电路显示仿真图

3.循环过程三:主干道显示时间30秒,亮灯红灯,次干道显示25秒时间,亮绿灯,确保次干道上通行,主干道上禁行,其仿真结果如下图

4.3所示:

图4.3循环过程三电路显示仿真图

4.循环过程四:主干道显示时间5秒,亮灯红灯,次干道显示5秒时间,亮绿

灯,确保提醒次干道上注意“等一等”,主干道上禁行,其仿真结果如下图4.4所示:

图4.4循环过程四电路显示仿真图

仿真说明:以上四个过程将完成该系统的一个循环,如果没有人为的干涉,该系统将沿着这样的循环一直进行下去;考虑到实际的情况并非总是主干道上的车流量大,可以进行人为的干预,即通过改变定时预置树模块的预置数,重新设置主干道和次干道的时间分配,达到人性化的工作状态。

五.系统综述以及总体电路图

5.1 系统综述

本系统的设计,主要的特色在于将主干道和次干道的控制进行部分分开,即分开进行控制,同时,他们两个有紧密的联系,通过公用秒脉冲信号,用时间的联系将两者紧密的结合起来。

所设计系统通过将555多谐振荡器产生的秒脉冲,该脉冲信号传送至倒计时电路,该

电路进行倒计时并且将时间显示,显示到00时的信号传送至信号灯控制电路,由该电路控制信号灯进行有规律的交替的变化,变化瞬间产生的各个信号灯的电平状态传送至定时置数电路,由该电路完成对倒计时电路的置数功能,从而形成一个干道完整的循环。

5.2总体电路图

17

六.结束语

到这里,本交通信号灯的控制系统基本介绍完毕,该信号灯控制系统完整的完成了预期的目的:1. 定周控制:主干道绿灯45秒,支干道绿灯25秒;

2. 每次由绿灯变为红灯时,应有5秒黄灯亮作为过渡;

3. 分别用红、黄、绿色发光二级管表示信号灯;

4. 设计计时显示电路。

同时为使该系统更加的人性化,增加其面对多种情况的应对策略,出色的完成了一些附加的功能,主要有:1.黄灯亮的时候伴随黄灯闪灯和蜂鸣提示;2.考虑到主干道与次干道车流量的变化,为了人性化的控制交通信号灯,可以自由设定主干道和次干道各个信号灯的亮变时间,在0-99范围内变化。

本系统的一大特色之处是,将主干道与次干道的控制既进行了部分分开,避免了之间的相互干扰,同时也便于分开进行控制,此外又将两条干道进行时间的紧密联系,确保该信号灯控制系统,可以按照需要无误的完成其指挥交通的功能。

七.元件明细表

7.1 元件明细列表

表7-1 元件明细列表

图7.1 由multisim输出的元件清单图

7.2 元件说明

1. 74LS190D为倒计时模块的倒计时芯片,本系统中一共是用了4个;

2. CMOS_5V,4002BD_5V为四输入的或非门,一共使用了5个;

3. 74LS465N为定时置数模块的预置数芯片,一共使用了6个;

4. 74STD,7404N为单输入的非门,本系统一共使用了4个;

5. 74LS00D为两输入的与非门,本系统一共使用了2个;

6 74LS161为计数器,用于控制信号的的转换,本系统一共使用了2个;

7. CMOS_5V,4001BD_5V为两输入的或非门,系统一共使用了2个;

8. 74LS138为3-8译码器,用于选择置数的定时预置数,一共使用了2个;

9. 1000HZ的蜂鸣器使用率两个,用于控制黄灯是的蜂鸣报警;

10 预置数的开关选择,根据需要一共使用了48个;

11. 四输入的数码显示管DCD_HEX,一共使用了4个,显示主干道和次干道

上的时间。

八.参考文献

[1]王连英主编. 基于Multisim10的电子仿真实验与设计. 北京市:北京邮电大学出

版社,2009.08

[2]林涛主编.楚岩,田莉娟,林薇编著.数字电子技术.北京:清华大学出版社,2006

(2007重印),2006年6月第一版

[3]袁宏,李忠波等著.电子设计与仿真技术.北京:机械工业出版社,2010.4

[4]刘福太主编.梁发麦、魏书田、林洪文编著.红版电子电路.北京:科学出版社,2007

[5]刘修文编著.实用电子电路图集.中国电力出版社.北京.2006.3

九.收获体会与总结

9.1收获体会

通过这次的数字电子技术课程设计实验,我学到了许多之前课堂上没有的实践性的东西,同时也真正的体会到了数字电路的神奇之处。在整个课程设计的过程中,我学到的不仅是书本上的知识理论,通过查阅资料,我更加明白了交通信号控制方面的一些前沿发展,增长了自己的见识,也学会了通过在图书馆查阅书籍和上网查询一些有用的知识。

在此次课程设计中,对于简易交通指示灯,我们采用的方案也许不是最佳的,但是这是我们努力的成果。在计数电路和显示电路方面也可以用更方便的集成电路来替代,但由于时间紧迫,我们没有完成。本次课程设计促使我们开动脑筋想出自己的方案, 巩固和加强《模拟电子技术》、《数字电子技术》课程的理论知识。不仅使我们知道课本上知识的原理,也使得我们对各种方案有进一步的理解并进行选择。

在做设计之前我们在图书馆找了大量的资料,并从中得到启发进一步得出自己的总体方案,我们对方案进行了分块,对单元电路也做了详细的介绍。同时我们也进一步熟悉并掌握了Multisim软件的应用,对所设计电路进行了仿真,由于时间的限制在设计的某些方面设计的还不理想。

总之,这次的数电课程设计让我学到了好多,更让我体会到了做一件事要有毅力,更要肯动脑筋,多想方法,你会收获颇多的。

9.2 问题反思以及对未来交通信号控制的思考

问题反思

1.我们设计的仅仅是交通信号控制的干道信号控制,没有涉及到人行道等的信号控

制,功能并非是完善的。

2.精确度方面,我们设计的秒脉冲产生模块,经测试并非精确至一秒,存在时间的

误差,这与我们的设计简单性可能有关,并非将诸多的因素考虑完全。

3. 各器件都有延时,可能会对电路有影响,实际应用中存在偏差,这与我们元器

件的选取有一定的关系。

数字电子技术课程设计之交通灯控制系统

数字电子技术课程设计之交通灯控制系统 专业班级:物联网112 指导教师:陈际 组成员:王海超、殷修修、张天一

一、内容摘要 二、设计内容与要求 三、方案分析 四、原理图设计 4、1信号灯控制器电路 4、2信号灯译码器电路 4、3计时器系统 4、4显示译码器 4、5 LED七段数码管 4、6 555振荡器组成的秒脉冲电路 五、整体电路图以及工作原理 六、参考文献 一、内容摘要 电路通过两个D触发器组成的四进制级数器和由与非门组成的译码器来控制主干道和支干道红、绿、黄灯的状态变化,从而达到疏

通车辆安全顺利通过十字路口,有555计时和电容电阻组成的秒脉冲发生器,计时器由两个74LS190计数器构成,分别用于计时的十位和个位,显示译码器把74LS190输出的BCD码译成七位二进制代码通过七段数码管显示出相应的十进制数。 二、设计内容与要求 为了确保在十字路口车辆安全顺利的通过,在交叉路口设置红、绿、黄三种信号灯,红灯亮时禁止通行,绿灯亮时允许通行,黄灯亮时给行驶中的车辆有时间停靠在禁行线外。 任务和要求: 1、在主干道和支干道之间交替放行,主干道每次放行50秒,支干道每次放行40秒。 2、每次绿灯亮变红时,黄灯先亮4秒,而原红灯不变。 3、用十进制数显示放行与等待时间。 三、方案分析 方案一、用数电电子技术来实现交通灯的控制 1、交通灯控制系统原理框图如图1-1所示 主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成,秒脉冲发生器是系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路驱动信号灯工作,控制器是系统的主要成分,由它控制定时器和译码器工作。

交通灯控制逻辑电路设计实验报告

《数字设计》课程实验报告 实验名称:交通灯控制逻辑电路的设计与仿真实现 学员:学号: 培养类型:年级: 专业:所属学院: 指导教员:职称: 实验室:实验日期:

交通灯控制逻辑电路的设计与仿真实现 一、实验目的: 1. 熟悉Multisim仿真软件的主要功能和使用。 2. 熟悉各种常用的MSI时序逻辑电路的功能和使用。 3. 运用逻辑设计知识,学会设计简单实用的数字系统。 二、实验任务及要求: 1.设计一个甲干道和乙干道交叉十字路口的交通灯控制逻辑电路。每个干道各一组指示灯(红、绿、黄)。要求:当甲干道绿灯亮16秒时,乙干道的红灯亮;接着甲干道的黄灯亮5秒,乙干道红灯依然亮;紧接着乙干道的绿灯亮16秒,这时甲干道红灯亮;然后乙干道黄灯亮5秒,甲干道红灯依然亮;最后又是甲干道绿灯亮,乙干道变红灯,依照以上顺序循环,甲乙干道的绿红黄交通指示灯分别亮着。 2.要求: (1)分析交通灯状态变换,画出基于格雷码顺序的交通灯控制状态图。 (2)设计时序逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (3)设计组合逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (4)用74LS161计数器构造16秒定时和5秒定时的定时电路,画出连线图。在Multisim仿真平台上,选用74LS161芯片连线,测试验证,将电路调试正确。 (5)在Multisim仿真平台上形成整个系统完整的电路,统调测试结果。三、设计思路与基本原理: 依据功能要求,交通灯控制系统应主要有定时电路、时序逻辑电路及信号灯转换器组合逻辑电路组成,系统的结构框图如图1所示。其中定时电路控制时序逻辑电路状态的该表时间,时序逻辑电路根据定时电路的驱动信号而改变状态,进而通过组合逻辑电路控制交通灯系统正常运行。 在各单元电路的设计顺序上,最先设计基础格雷码顺序的交通灯控制状态图,由此确定时序逻辑电路的设计,并完成该部分电路的调试。接着在设计好时序路逻辑电路的基础上,根据状态输出设计组合逻辑电路,并完成该部分的调试。最后完成定时电路的设计与调试。整合电路,形成整个系统完整的电路,统调测试结果。

交通灯设计数电课程设计报告

课程设计论文 题目:交通灯定时控制系统的设计、制作 学院:_ ___ 专业:____ 学号:_____ 姓名:________ 指导教师:_________ 完成日期: 设计任务书 在城镇街道的十字交叉路口,为了保证交通秩序和行人安全,一般在每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆停止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。交通灯控制电路自动控制十字路口两组红、黄、绿交通灯的状态转换,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。 设计一个十字路口的交通灯定时控制系统,基本要求如下: (1)甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒。 (2)每次绿灯变红灯时,黄灯先亮5秒钟,才能变换运行车道。 (3)黄灯亮时,要求每秒钟闪亮一次。 选做扩展功能: (4)十字路口有数字显示灯亮时间,要求灯亮时间以秒为单位作减计数; (5)要求通行时间和黄灯亮的时间均可在0~99s内任意设定。

目录 一、交通灯设计原理 (4) 二、单元电路的设计 (8) 1、秒脉冲发生器 (8) 2、定时器 (9) 3、控制器 (11) 4、译码器 (14) 5、显示部分 (16) 6.整个交通灯控制系统的布局 (17) 三、仿真过程与效果分析 (17) 四、元器件清单...................................................................... . (19) 五、体会总结...................................................................... .. (20) 六、参考文献...................................................................... .. (21) 七、附录 一.交通灯设计原理

数字电路课程设计交通灯

数字逻辑电路设计 课程设计报告 系(部):三系 专业:通信工程 班级: 2011级<1>班 姓名:陈 学号: 201103061 成绩: 指导老师:李海霞 开课时间: 2012-2013 学年二学期

一、设计题目 交通信号灯控制器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、具体要求 (一)、交通灯信号控制器仿真设计 设计要求 (1)设计一个十字路口的交通灯控制电路,要求东西方向和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为35s。时间可 设置修改。 (2)在绿灯转为红灯时,要求黄灯先亮5s,才能变换运行车道。 (3)黄灯亮时,要求每秒闪亮一次。 (4)东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示。 (5)假定+5V电源给定。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写

五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成: 1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

交通灯控制器课程设计实验报告

2011级课程设计实验报告 交 通 灯 控 制 器 院(系):计算机与信息工程学院 专业年级: 2011级通信工程一班 姓名: 谢仙 学号: 指导教师: 杨菊秋 2013年06月25日

目录 1 引言 (3) 2 任务与要求 (3) 3 课程设计摘要及整体方框图 (3) 4 课程设计原理 (4) 555定时器 (5) 七位二进制计数器4024 (6) 二进制可逆计数器74LS193 (8) 数码显示电路 (9) 结论 (10) 体会与收获 (10) 附录: 1、整体电路原理图 (11) 2、元件表 (12) 3、焊接与调试 (12) 1引言

交通信号灯常用于交叉路口,用来控制车辆的流量,提高交叉路口车辆的通行能力,减少交通事故。本交通灯设计主要由秒脉冲发生器、计数器、译码显示电路组成。秒脉冲发生器由NE555产生脉冲,计数器由74LS193和4024实现,译码电路采用74LS48和七段数码管来显示。 2设计任务与要求 交通灯控制信号的应用非常广泛。本电路设计一个交通灯控制器,需要达到的目的如下; 一个周期64秒,平均分配,前32秒红灯亮,后32秒绿灯亮。 在红灯亮的期间的后8秒与红灯在一起的黄灯闪烁(注意:红灯同时亮)。为了显示效果明显,设计闪烁频率为1。 在绿灯亮的期间的后8秒与绿灯在一起的黄灯闪烁(注意:绿灯同时亮),为了显示效果明显,设计闪烁频率为1。 在黄灯闪烁期间,数码管同时倒计时显示,在此期间以外,数码管不亮。 3课程设计摘要及整体方框图 为了完成交通灯控制电路的设计,方案考虑如下: 一个脉冲信号发生器,一个二进制加法计数器,一个十进制减法计数器,红灯与绿灯以及黄灯是否亮是由二进制加法计数器的输出端状态来决定的,因此,设计一个组合逻辑电路,它的输入信号就是二进制加法计数器的输出信号,它的输出就是发光二极管的控制信号,因此,需要一个组合逻辑电路,六个发光二极管(二个红色发光二极管,二个绿色发光二极管,二个黄色发光二极管)电路,一个数码管显示电路。结构图如下: 4 课程设计原理分析及相关知识概述

数电课程设计交通灯

数字电路课程设计报告书 系部名称:电子工程学院 学生姓名: 专业名称:微电子 班级: 实习时间: 题目:交通灯控制器

一实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件的原理及其应用,锻炼自己的动手能力和实际解决问题的能力。 2.深入了解交通灯的工作原理。 二实验要求 1)在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯,黄灯,红灯,另一方面是红灯,绿灯,黄灯。 2)设置一组数码管,以计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间为20秒,另一个方向上绿灯亮的时间是30秒,黄灯亮的时间都是5秒。 3)当任何一个方向出现特殊情况,按下手动开关,其中一个方向常通行,倒计时停止,当特殊情况结束后,按下自动控制开关,恢复正常状态。 三使用元件 器件型号数量器件型号数量 161 2 LED 6 08 2 电阻4.7K 1 04 3 电阻150K 1 00 1 电阻100欧姆 1 48 2 电容4.7uF 1 74 1 电容103 1 555 1 数码管 2 面包板 1 斜口钳 1 四总体方案的设计 1.分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图所示。它主要由控制器、定时器和秒

脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,控制器是系统的主要部分,由它控制定时器,数码管和二极管的工作。 2.分析系统的状态变化,列出状态转换表: (1)主干道绿灯亮,支干道红灯亮。表示主干道上的车辆允许通行, 支干道禁止通行。 (2)主干道黄灯亮,支干道红灯亮。表示主干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,支干道禁止通行。 (3)主干道红灯亮,支干道绿灯亮。表示主干道禁止通行,支干道上的车辆允许通行。 主控部分 秒脉冲发生 器 交通灯 倒计时控制部分 数码管显示 反馈 控制

交通灯实验报告——数字电路

一、用中规模器件设计交通灯控制器 一、任务要求 1)通过数字电路的设计,在面包板上模拟交通红绿灯。要求分主干道和支干道,每条道上安装红(主R,支r)绿(主G,支g)黄(主Y,支y)三种颜色的灯,由四种状态自动循环构成(G、r→Y、r→R、g→R、y); 2)在交通灯处在不同的状态时,设计一计时器以倒计时方式显示计时,并要求不同状态历时分别为:G、r:30秒;R、g:20秒;Y、r,R、y:5秒。 二、总体方案 三、单元电路设计 1)主控电路 在设计要求中要实现四种状态的自动转换,首先要把这四种状态以数字的形态表示出来。因2*2=4,所以可以两位二进制数表示所需状态(00—G、r, 01—Y、r, 10—R、g, 11—R、y),循环状态:(00—10—11—01—00) 数字电路课程中介绍的计数器就是通过有限几个不同状态之间的循环实现不同模值计数,由此可以尝试设计一模值为4的计数器,其输出(代表不同状态)既可以循环转换,而且能够控制其他部分电路。在课程设计中本人利用74LS74(双上升沿D触发器)设计模4计数器作为主控部分电路。 主控电路图如下:

红绿灯显示电路接线如下: 4)计时部分电路 a)计时器状态产生模块: 设计要求对不同的状态维持的时间不同,而且要以十进制倒计时显示出来。限于实验 室器材本人采用两个74LS161完成计时器状态产生模块设计。 设计思路: 要以十进制输出,而又有一些状态维持时间超过10秒,则必须用两个74LS161分别 产生个位和十位的数字信号。显然,计数器能够完成计时功能,我们可以用74LS161设计, 并把它的时钟cp接秒脉冲。74LS161计数器是采用加法计数,要想倒计时,则在74LS161 输出的信号必须经过非门处理后才能接入数码管的驱动74LS48,而在显示是最好以人们 习惯的数字0---9显示计时,故在设计不同模值计数器确定有效状态时,本人以0000,0001,0010-----1111这些状态中靠后的状态为有效状态。 例如:有效状态1011—1100—1101—1110—1111 取反0100—0011—0010—0001—0000即4------3------2-------1------0实现模5的倒计时。 在将74LS161改装成其他模值时既可以采用同步清零法,也可采用异步置数法,但 0000不可能为有效状态,所以采用异步置数法完成不同模值转化的实现。 首先对控制个位输出的74LS161设计: 按要求对系统的状态不同,即红绿灯的状态不同,个位的进制也就要求不同。本人利 用系统的状态量Q 2、Q 1 控制74LS161的置数端D 3 D 2 D 1 D 。当系统处在G、r或R、g状态时, 个位的进制是十(模10),即逢十进一,当系统处在Y、r或R、y状态时,个位的进制是 五(模5),即逢五进一,模10时,有效状态为0110-----1111,置D 3D 2 D 1 D 为0110,模5

交通灯控制电路数电课程设计数字电路课程设计

——交通灯控制电路 目录 第一章:序言 (2) 第二章:设计任务书 (2) 第三章:电路组成和工作原理 (4)

第四章:设计步骤及方法 (7) 第五章:总结 (10) 第七章:参考文献 (10) 第一章序言 随着社会的飞速发展,城市交通问题日益凸显严重,尤其在城市街道的十字叉路口,频繁发生交通问题,为了保证交通秩序和行人安全,一般在每条街上都有一组红、黄、绿交通信号灯。其中红灯亮,表示道路禁止通行;黄灯亮表示该道路上未过停车线的车辆禁止通行,已经过停车线的的车辆继续通行;绿灯亮表示道路允许通行。交通灯控制电路自动控制十字路口的红、黄、绿交通灯。交通灯通过的状态转换,指挥车辆行人通行,保证车辆行人的安全,实现十字路口交通管理自动化。

第二章设计任务书 一、设计题目: 二、技术内容及要求: 三、给定条件及器件 四、设计内容 1.电路各部分的组成和工作原理。 2.元器件的选取及其电路逻辑图和功能。 3.电路各部分的调试方法。 4.在整机电路的设计调试过程中,遇到什么问题,其原因及解决

的办法。 第三章电路组成和工作原理设系统工作的十字路口由主、支两条干道构成,4路口均设红、黄、绿三色信号灯和用于计时的2位由数码管显示的十进制计数器,其示意图如图5—1所示。 图1 十字路口交通信号灯控制示意图 根据交通规则,交通信号灯自动定时控制器所需实现的功能如下: (1)主、支干道交替通行,通行时间均可在0 ~ 99 s内任意设定。 (2)每次绿灯换红灯前,黄灯先亮较短时间(也可在0 ~ 99 s内任意设定),用以等待十字路口内滞留车辆通过。 (3)主支干道通行时间和黄灯亮的时间均可由同一计数器按减计数方式计数(零状态瞬间进行状态的转换,视为无效态)。 (4)在减计数器回零瞬间完成十字路口通行状态的转换(换灯)。

数电实验报告

数电实验报告

《数字电子技术》 实验报告 姓名:*** 班级:****888

学号:2014*******8 指导老师:**** 编制时间:2016.06.10 北京联合大学 实验一基本集成逻辑门电路功能分析一、实验目的 1.理解TTL和CMOS普通门电路的参数含义。 2.掌握TTL和CMOS普通门电路的使用方法。 3.掌握分析普通门电路逻辑功能的一般方法。 4.理解TTL和CMOS普通门电路参数的一般分析方法。 二、实验元器件 双四输入与非门 74LS00×1片 六反相器 74LS04×1片 电阻 300Ω×1只 三、实验内容

(一) TTL 双四输入与非门74LS00功能分析 (1)逻辑功能分析 参考图1.1连接电路。一只74LS00芯片中含有四个相同的2输入与非门,可以随意选用,此处选用的是第一个门电路。检查电路无误时方可通电。 图1.1 与非门逻辑功能测试电路 变换单刀双掷开关J1和J2的状态,用直流电压表测试电路的输出电压,将测试结果记入表1.1中。 表1.1 输入 输出 U 1/V U 2/V 实测值 逻辑值 0 0 5 5 0 5 5 5 5 5 5 U1A 7400N J2Key = A J1 Key = B VCC 5V 0.000 V +-

5 5 0 0 (2)电压传输特性分析 依照图1.3编辑电路。在0~5V 间逐步调整输入的直流电压,将随之变化的输出电压记入表1.2中。 图1.3 分析与非门电压传输特性仿真电路 表1.2 U I /V U O /V U I /V U O /V U I /V U O /V U I /V U O /V 5.0 0 3.8 0 2.6 0 1.4 5 4.8 0 3.6 0 2.4 5 1.2 5 4.6 0 3.4 0 2.2 5 1.0 5 4.4 0 3.2 0 2.0 5 0.8 5 4.2 0 3.0 0 1.8 5 0.4 5 4.0 0 2.8 1.6 5 5 5.000 V +-VSS U1A 7400N V2 1.8 V

数电课设交通灯

太原理工大学

课程设计任务书 注:1.课程设计完成后,学生提交的归档文件应按照:封面—任务书—说明书—图纸的顺序进行装订上交(大张图纸不必装订) 2.可根据实际内容需要续表,但应保持原格式不变。 指导教师签名:日期:

专业班级 学号 姓名 成绩 一、 简述 为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R )亮表示该条道路禁止通行;黄灯(Y )亮表示停车;绿灯(G )亮表示允许通行。 交通灯控制器的系统框图如图3.1所示。 图3.1 交通灯控制器系统框图 系统由秒脉冲信号发生器、定时器、控制器、译码显示器、信号灯显示器五大部分组成。其中秒脉冲信号发生器用于给各个组成部分提供脉冲信号,通过定时器向控制器发出三种定时信号,使相应的发光二极管发光。译码显示器在控制器的控制下,改变交通灯信号,分别产生三种倒计时时间显示,控制器根据定时器的信号,进行状态间的转换,使显示器的显示发生相应转变。 二、设计目的 通过本次课题设计,应该掌握以下内容 (1)学习数字逻辑电路设计的一般方法。 (2)要求学会用理论知识解决实际问题。 (3)灵活掌握部分74LS 系列集成电路的使用。 (4)掌握Multisim 仿真软件的应用。 (5)掌握常用元器件的识别与测量。 (6)了解实际电路调试和解决问题的基本方法。 三、总体方案设计 用定时器分别产生三个时间间隔后,向控制器发出“时间已到”的信号,控制器 ……………………………………装………………………………………订…………………………………………

根据定时器的信号,决定是否进行状态转换。如果肯定,则控制器发出状态转换信号ST,定时器开始清零,准备重新计时。 交通灯控制器的控制过程分为四个阶段,对应的输出有四种状态,分别用S0、S1、S2、S3表示。 S0状态:主干道绿灯亮,支干道红灯亮,此时主干道允许车辆通行,主干道禁止车辆通行。当主干道绿灯亮够规定的时间后,控制器发出状态转换信号,系统进入下一个状态。 S1状态:主干道黄灯亮,主干道红灯亮,此时主干道允许超过停车线的车辆继续通行,而未超过停车线的车辆禁止通行,支干道禁止车辆通行。当主干道黄灯亮够规定时间后,控制器发出状态转换信号,系统进入下一个状态。 S2状态:主干道红灯亮,支干道绿灯亮。此时主干道禁止车辆通行,支干道允许车辆通行,当支干道绿灯亮够规定时间后,控制器发出状态转换信号,系统进入下一个状态。 S3状态:支干道红灯亮,支干道黄灯亮。此时主干道禁止车辆通行,支干道允许超过停车线的车辆通行,而未超过停车线的车辆禁止通行。当支干道红灯亮够规定的时间后,控制器发出状态转换信号,系统进入下一个状态------S0状态。 交 灯 状 态 转

交通灯控制逻辑电路设计实验报告

交通灯控制逻辑电路设 计实验报告 Standardization of sany group #QS8QHH-HHGX8Q8-GNHHJ8-HHMHGN#

《数字设计》课程实验报告 实验名称:交通灯控制逻辑电路的设计与仿真实现学员:学号: 培养类型:年级: 专业:所属学院: 指导教员:职称: 实验室:实验日期: 交通灯控制逻辑电路的设计与仿真实现 一、实验目的: 1. 熟悉Multisim仿真软件的主要功能和使用。 2. 熟悉各种常用的MSI时序逻辑电路的功能和使用。 3. 运用逻辑设计知识,学会设计简单实用的数字系统。 二、实验任务及要求: 1.设计一个甲干道和乙干道交叉十字路口的交通灯控制逻辑电路。每个干道各一组指示灯(红、绿、黄)。要求:当甲干道绿灯亮16秒时,乙干道的红灯亮;接着甲干道的黄灯亮5秒,乙干道红灯依然亮;紧接着乙干道的绿灯亮16秒,这

时甲干道红灯亮;然后乙干道黄灯亮5秒,甲干道红灯依然亮;最后又是甲干道绿灯亮,乙干道变红灯,依照以上顺序循环,甲乙干道的绿红黄交通指示灯分别亮着。 2.要求: (1)分析交通灯状态变换,画出基于格雷码顺序的交通灯控制状态图。 (2)设计时序逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (3)设计组合逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (4)用74LS161计数器构造16秒定时和5秒定时的定时电路,画出连线图。在Multisim仿真平台上,选用74LS161芯片连线,测试验证,将电路调试正确。 (5)在Multisim仿真平台上形成整个系统完整的电路,统调测试结果。三、设计思路与基本原理: 依据功能要求,交通灯控制系统应主要有定时电路、时序逻辑电路及信号灯转换器组合逻辑电路组成,系统的结构框图如图1所示。其中定时电路控制时序逻辑电路状态的该表时间,时序逻辑电路根据定时电路的驱动信号而改变状态,进而通过组合逻辑电路控制交通灯系统正常运行。

交通灯控制电路_数电课程设计_数字电路课程设计

——交通灯控制电路 目录

第一章:序…………………………………………(1-2) 第二章:设计任务书………………………………(2-4) 第三章:电路组成和工作原理........................(4-12)第四章:设计步骤及方法..............................(13-18)第五章:仿真过程故障排除...........................(18-22)第六章:总结.............................................(22-23)第七章:参考文献 (23) 第八章:致谢 (23)

毕业论文项目表

哈尔滨应用职业技术学院学生顶岗实习鉴定表

第一章序 数字电子技术基础是高等学校弱电类专业的一门重要技术基础课程。这门课程发展迅速、实用性和应用性强,侧重于逻辑行为的认知和验证。 随着社会经济的发展城市交通问题越来越引起人们的注意。人、车、路三者的关系协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导计算机综合管理系统,他是现代城市的交通监控系统指挥中最重要的组成部分。 同时也随着城市机动车辆的不断增加,许多大城市如北京,上海,南京等出现交通超负荷运行的情况,因此,自八十年代后,这些城市纷纷修建高速道路,在告诉道路完成的初期,它们也曾有效地改善交通情况。然而,随着交通量的快速增长和缺乏对告诉道路的系统研究和控制,告诉道路没有充分发挥初期的作用。而城市的高速道路在构造上的特写,也决定了城市告诉道路的交通状况必然受告诉道路与普通道路耦合出交通状况的制约。所以,如何才用何时得控制方法,最大限度利用好耗费巨资修建的城市高速道路,缓解主干道和匝道,城区同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。为此,本次设计完成的就是交通灯设计。一下就是城乡交通灯控制系统的电路原理,设计计算和实验调试等问题来进行具体讨论。 第二章设计任务书 一、设计题目:交通灯控制电路 二、技术要求:

数电交通灯课程设计报告

电子技术课程 设计报告 二 级 学 院 机械与电子工程学院 专 业 电气工程及其自动化 年 级 2014级 学 号 14106***** 学 生 姓 名 苏 运 指 导 教 师 杨** 完 成 时 间 2015 年12 月25 日 简易交通灯的设计

简易交通灯的设计 作者:苏运 指导老师:杨** 摘要:利用数码管,74LS48译码器、74LS192计数器、ne555、与非门、或非门、触发器、蜂鸣器和小彩灯等电子元件,制作简易交通灯,实现15秒倒计时开始后,到达5秒时红灯熄灭,黄灯闪烁同时蜂鸣器响,到达0秒时切换到另外两个方向的红绿灯亮的功能。经测试,系统达到红绿灯转换和显示的基本要求,具有电路美观稳定性高的优点。 关键词:数电交通灯;交通灯;简易交通灯;计数器

目录 1 设计要求及方案选择 (1) 1.1设计要求 (1) 1.2方案选择 (1) 2 理论分析与设计 (1) 2.1脉冲发生器电路的分析及设计 (1) 2.2定时器电路的分析及设计 (2) 2.3交通灯和蜂鸣器电路的分析及设计 (3) 2.4控制器电路的分析及设计 (4) 2.5数码显示电路的分析及设计 (4) 3电路设计 (5) 3.1脉冲发生器电路的设计 (5) 3.2定时器电路的设计 (6) 3.3交通灯和蜂鸣器电路的设计 (6) 3.4控制电路的设计 (7) 3.5码显示电路的设计 (9) 3.6电源开关和指示灯电路的设计 (9) 4系统测试实验 (10) 4.1调试所用的基本仪器清单 (10) 4.2软件仿真调试 (10) 4.3实物电路板的调试 (10) 4.4时钟脉冲信号的测定 (10) 4.5调试结果 (11) 4.6测试结果分析 (11) 5 总结 (12) 附录 (13) 附录A 仿真图 (13) 附录B 原理图 (13) 附录C PCB图 (14) 附录D 实物图 (14) 附录E 脉冲信号测试图 (15) 附录F 元件清单 (15) 参考文献 (16)

交通灯控制逻辑电路设计实验报告

《数字设计》课程实验报告 指导教员:职称: 实验室:实验日期:

交通灯控制逻辑电路的设计与仿真实 现 一、实验目的: 1. 熟悉Multisim仿真软件的主要功能和使用。 2. 熟悉各种常用的MSI时序逻辑电路的功能和使用。 3. 运用逻辑设计知识,学会设计简单实用的数字系统。 二、实验任务及要求: 1.设计一个甲干道和乙干道交叉十字路口的交通灯控制逻辑电路。每个干道各一组指示灯(红、绿、黄)。要求:当甲干道绿灯亮16秒时,乙干道的红灯亮;接着甲干道的黄灯亮5秒,乙干道红灯依然亮;紧接着乙干道的绿灯亮16秒,这时甲干道红灯亮;然后乙干道黄灯亮5秒,甲干道红灯依然亮;最后又是甲干道绿灯亮,乙干道变红灯,依照以上顺序循环,甲乙干道的绿红黄交通指示灯分别亮着。 2.要求: (1)分析交通灯状态变换,画出基于格雷码顺序的交通灯控制状态图。 (2)设计时序逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (3)设计组合逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (4)用74LS161计数器构造16秒定时和5秒定时的定时电路,画出连线图。在Multisim仿真平台上,选用74LS161芯片连线,测试验证,将电路调试正确。 (5)在Multisim仿真平台上形成整个系统完整的电路,统调测试结果。 三、设计思路与基本原理: 依据功能要求,交通灯控制系统应主要有定时电路、时序逻辑电路及信号灯转换器组合逻辑电路组成,系统的结构框图如图1所示。其中定时电路控制时序逻辑电路状态的该表时间,时序逻辑电路根据定时电路的驱动信号而改变状态,进而通过组合逻辑电路控制交通灯系统正常运行。 在各单元电路的设计顺序上,最先设计基础格雷码顺序的交通灯控制状态图,由此确定时序逻辑电路的设计,并完成该部分电路的调试。接着在设计好时序路逻辑电路的基础上,根据状态输出设计组合逻辑电路,并完成该部分的调试。最后完成定时电路的设计与调试。整合电路,形成整个系统完整的电路,统调测试结果。

数电综合实验报告2--交通灯

数电综合实验报告2--交通灯

数字逻辑与数字系统设计综合实验二——十字路口交通灯自动控制器的设计 学院电子工程学院 班级卓越001012班 学号00101201 姓名冉艳伟 实验时间2012.6.8

十字路口交通灯自动控制器的设计 一、实验目的 学习QuartusII的使用方法,熟悉可编程逻辑器件的使用。通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制。 二、设计任务 设计一个十字路口交通控制系统,其东西,南北两个方向除了有红、黄、绿灯指示是否允许通行外,还设有时钟,以倒计时方式显示每一路允许通行的时间,绿灯,黄灯,红灯的持续时间分别是40、5和45秒。当东西或南北两路中任一道上出现特殊情况,例如有消防车,警车要去执行任务,此时交通控制系统应可由交警手动控制立即进入特殊运行状态,即两条道上的所有车辆皆停止通行,红灯全亮,时钟停止计时,且其数字在闪烁。当特殊运行状态结束后,管理系统恢复原来的状态,继续正常运行。 东西干道 图1 路口交通管理示意图

三、实验要求 (1)按照设计任务设计,采用VHDL语言编写程序,并在QUARTUSII工具平台中进行仿真,下载到EDA实验箱进行验证。交通灯用发光二级管模拟,观察交通灯的运行是否正常,如果不正常,排除故障直至正确为止。 (2)编写设计报告,要求包括方案选择、程序清单、调试过程、测试结果及心得体会。 要求:必须用可编程器件实现电路功能。可以是原理图,也可以是VHDL代码,也可以混合输入。 五、设计说明 (1)第一模块:CLK时钟秒脉冲发生电路 在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作,故对1MHz的时钟信号进行1000000分频。 模块说明: 系统输入信号: CLKi: 由外接信号发生器提供1MHz的时钟信号; 系统输出信号: CLK:产生每秒一个脉冲的信号; (2)第二模块:模90倒计数器

交通灯控制电路设计数电课程设计+数字电路课程设计

数字电子技术课程设计 简易交通灯控制逻辑电路设计 专业班级:09自动化一班 时间:2011.12.12-2011.12.19 姓名: 指导教师: :郭计云

大同大学电气工程系

目录 一、课程题目 (2) 二、设计要求 (2) 三、系统框图及说明 (2) 四、单元电路设计 (4) 五、仿真过程与效果分析 (12) 六、体会总结 (13) 七、参考文献 (13)

《一》课程设计题目: 交通灯控制电路设计 《二》设计要求: 1、设计一个十字路口的交通灯控制电路,要求南北方向(主干道)车道和东西方向(支干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行时间为20秒,时间可设置修改。 2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道; 3、黄灯亮时,要求每秒闪亮一次。 4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用计时的方法)。 5、同步设置人行横道红、绿灯指示。 《三》系统框图及说明: 1、分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图1-1 所示。它主要由计时电路、主控电路、信号 灯转换器和脉冲信号发生器组成。脉冲信号发生器用的是555 定时器;计时计数器是由74LS160 来完成、输出四组驱动信号T0 和T3 经信号灯转换器(4 片7448)来控制信号灯工作,主控电路是系统的主要部分,由它控制信号灯转换器的工作。

(图1-1)2、信号灯转换器

状态与车道运行状态如下:S0:支干道车道的绿灯亮,车道通行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S1:支干道车道的黄灯亮,车道缓行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S2:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道车道的绿灯亮,车道

217-交通灯实验报告 1

上海电力学院 (2010 /2011 学年第 1 学期) 课题名称交通信号灯控制器 课题代码217 院(系)电力与自动化工程学院 专业电气工程及其自动化 班级 2008*** 学生 时间 2010-01-07至2010-01-18 指导教师签名: 教研室主任(系主任)签名: 一、设计目的 1、了解并掌握电子电路的一般设计方法,具备初步的独立设计能力。 2、通过查阅手册和文献资料,进一步熟悉常用电子器件的类型和特性,并掌握

合理选用的原则;进一步掌握电子仪器的正确使用方法。 3、学会使用EDA 软件Multisim 对电子电路进行仿真设计。 4、初步掌握普通电子电路的安装、布线、调试等基本技能。 5、提高综合运用所学的理论知识独立分析和解决问题的能力,学会撰写课程设计总结报告;培养严肃认真的工作作风和严谨的科学态度。 二、 设计内容、要求及设计方案 1、任务 设计并制作1个十字路口交通灯控制器。 2、基本要求 1)要求A 车道和B 车道两条交叉道路上的车辆交替通行,每次允许通行时 间都设为25s (绿灯亮)。 2)从允许通行(绿灯亮)到禁止通行(红灯亮)的过渡为5s (黄灯亮)。 3)当某一方向的黄灯亮时,另一个方向的红灯必须继续保持亮。 4)黄灯亮时,要求每秒钟闪亮一次。 5)电路必须具有自启动能力。 6)控制电路要求为交通警察保留手动控制功能,能在需要的时候人为控制 通行方向。 7)在每个路口能够显示剩余通行时间或等待时间。 8)夜间控制电路保持两个方向的红、绿灯灭,而黄灯闪亮,提醒司机注意 减速行驶。 3、设计方案 图2 交通灯切换顺序图 图 1 交通灯管理示意图

数电课设交通灯

目录 1.设计任务--------------------------------------------------------- - 1 - 2.总体设计方案------------------------------------------------------ - 1 - 2.1总述:----------------------------------------------------------- - 1 - 2.2设计思路:------------------------------------------------------- - 2 - 2.3设计总框图如下:------------------------------------------------- - 3 - 3.模块电路设计------------------------------------------------------ - 4 - 3.1秒脉冲发生模块--------------------------------------------------- - 4 - 3.2数码管显示模块--------------------------------------------------- - 5 - 3.3 led发光电路----------------------------------------------------- - 6 - 3.4主控电路--------------------------------------------------------- - 7 - 3.5交通信号灯电路-------------------------------------------------- - 10 - 4.原理总图-------------------------------------------------------- - 10 - 5.元器件清单------------------------------------------------------ - 10 - 6.调试------------------------------------------------------------ - 11 - 7.心得体会--------------------------------------------------------- - 11 - 8.参考文献------------------------------------------------------- - 12 -

数字电子技术红绿灯课程设计报告

XXXX大学 电路设计实验报告 实验课题交通红绿灯控制器姓名XXX 学号XXXXXXXXX 班级x x计算机x x班 完成日期20x x年x x月x x日

一、概述 在城镇街道的十字交叉路口,为了保证交通秩序和行人安全,一般在每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆停止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。交通灯控制电路自动控制十字路口两组红、黄、绿交通灯的状态转换,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。 交通灯控制器的系统框图如图所示: 图1交通灯控制器系统框图 二、设计任务和要求 设计一个十字路口交通信号灯控制器,其要求如下: 1、满足如图3.2顺序工作流程。 图中设南北方向的红、黄、绿灯分别为NSR、NSY、NSG,东西方向的红、黄、绿灯分别为EWR、EWY、EWG。 它们的工作方式,有些必须是并行进行的,即南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄灯亮。 南北、东西方向绿、黄、红灯亮时间分别为27秒、3秒、30秒,其中红灯亮的时间为绿灯、黄灯亮的时间之和,黄灯是间歇闪耀。 2、十字路口要有数字显示,作为时间提示,以便人们更直观地把握时间。具体为:当某方向绿灯亮时,置显示器为某值,然后以每秒减1计数方式工作,直至减到数为“0”,十字路口红、绿等交换,一次工作循环结束,而进入下一步某方向的工作循环。

三、单元电路设计与分析 1、用74LS192加减计数器减法计数实现30秒倒计时。 图2 74LS192构成的30减法计数器 如图2所示首先对计数器U1,U2设置初值30,将秒信号输入U1减计数时钟输入端,进而产生个位减信号,然后将个位计数器U1错位输出端连接至十位计数器减计数时钟输入端,从而产生十位退位。当计数结果为0时,给计数器置数信号,使得192再次从30开始倒计时。

数电课设交通灯

理工大学 课程设计任务书 注:1.课程设计完成后,学生提交的归档文件应按照:封面—任务书—说明书—图纸的顺序进行装订上交(大图纸不必装订) 2.可根据实际容需要续表,但应保持原格式不变。 指导教师签名:日期: .

.

. 专业班级 学号 成绩 一、 简述 为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R )亮表示该条道路禁止通行;黄灯(Y )亮表示停车;绿灯(G )亮表示允许通行。 交通灯控制器的系统框图如图3.1所示。 图3.1 交通灯控制器系统框图 系统由秒脉冲信号发生器、定时器、控制器、译码显示器、信号灯显示器五大部分组成。其中秒脉冲信号发生器用于给各个组成部分提供脉冲信号,通过定时器向控制器发出三种定时信号,使相应的发光二极管发光。译码显示器在控制器的控制下,改变交通灯信号,分别产生三种倒计时时间显示,控制器根据定时器的信号,进行状态间的转换,使显示器的显示发生相应转变。 二、设计目的 通过本次课题设计,应该掌握以下容 (1)学习数字逻辑电路设计的一般方法。 (2)要求学会用理论知识解决实际问题。 ……………………………………装………………………………………订…………………………………………线………………………………………

(3)灵活掌握部分74LS系列集成电路的使用。 (4)掌握Multisim仿真软件的应用。 (5)掌握常用元器件的识别与测量。 (6)了解实际电路调试和解决问题的基本方法。 三、总体方案设计 用定时器分别产生三个时间间隔后,向控制器发出“时间已到”的信号,控制器根据定时器的信号,决定是否进行状态转换。如果肯定,则控制器发出状态转换信号ST,定时器开始清零,准备重新计时。交通灯控制器的控制过程分为四个阶段,对应的输出有四种状态,分别用S0、S1、S2、S3表示。 S0状态:主干道绿灯亮,支干道红灯亮,此时主干道允许车辆通行,主干道禁止车辆通行。当主干道绿灯亮够规定的时间后,控制器发出状态转换信号,系统进入下一个状态。 S1状态:主干道黄灯亮,主干道红灯亮,此时主干道允许超过停车线的车辆继续通行,而未超过停车线的车辆禁止通行,支干道禁止车辆通行。当主干道黄灯亮够规定时间后,控制器发出状态转换信号,系统进入下一个状态。 S2状态:主干道红灯亮,支干道绿灯亮。此时主干道禁止车辆通行,支干道允许车辆通行,当支干道绿灯亮够规定时间后,控制器发出状态转换信号,系统进入下一个状态。 S3状态:支干道红灯亮,支干道黄灯亮。此时主干道禁止车辆通行,支干道允许超过停车线的车辆通行,而未超过停车线的车辆禁止通行。当支干道红灯亮够规定的时间后,控制器发出状态转换信号,系统进入下一个状态------S0状态。 .

相关文档
相关文档 最新文档