文档库 最新最全的文档下载
当前位置:文档库 › 四位竞赛抢答器实验报告

四位竞赛抢答器实验报告

四位竞赛抢答器实验报告
四位竞赛抢答器实验报告

电气工程学院

单片机课程设计

实验报告

班级:…………

姓名:

学号:…………

设计题目:四位竞赛抢答器

设计时间:…………

评定成绩:

评定教师:

目录

摘要 (1)

一、调试过程 (3)

1.1 调试详细步骤和过程 (3)

1.2 软件调试遇到的问题及解决过程说明 (5)

1.3 软件仿真运行和硬件实际验证的区别 (6)

二、运行效果 (6)

1、Proteus电路图 (6)

2、滑动变阻器在0%时,倒计时最大时间。 (6)

3、滑动变阻器在50%时,倒计时最大时间 (7)

4、滑动变阻器在100%时,倒计时最大时间 (7)

5、选手进行抢答 (8)

6、无人抢答,系统警告 (9)

7、硬件仿真 (10)

抢答、计分、计时结束 (11)

三、系统优化 (11)

3.1 软件设计 (11)

3.2 硬件设计 (11)

摘要

单片机自20世纪70年代问世以来,在电子信息、电气工程、工程自动化控制、机电一体化、智能仪器仪表、家用电器等诸多领域得到广泛应用,已对人类社会产生重大影响。

由于Intel公司的8051内核单片机获得的巨大成功,以8051内核技术为主导的单片机也是目前我国多数高校都在讲授的机型。尽管各种新型的8位、16位以及32位单片机不断推出,但在目前的应用中,8位单片机尤其是各种8051内核的单片机仍站主导地位。

本次设计利用了8051芯片、4*4矩阵键盘(仅用2*3)、串行A\D、D\A转换芯片PCF8591、8位共阴极数码管(仅用4位)、音频放大芯片LM386以及喇叭,实现四位竞赛抢答单片机系统,可同时供4名选手或4个代表队参加比赛,每队均有一个抢答按钮,同时给节目主持人“抢答开始”及“系统清除”按键。本抢答器具有编号锁存、抢答计分、时间显示和音响提示等功能。

本次课程设计让我初步掌握了竞赛抢答单片机系统的工作原理和基本思想,同时也让我对书本所学到的知识了解更加深入。

关键字:AT89C51单片机;矩阵键盘;数码管;PCF8591;LM386;Keil5软件;Proteus仿真软件

一、调试过程

1.1 调试详细步骤和过程

(1)在Keil中编好程序后,点击编译运行。

图 1 编译运行结果

(2)编译运行无错误后,还需要对项目进一步设置,以满足要求。

图 2 晶振频率设置

图 3 勾选Create HEX file

图 4 生成HEX文件

(3)生成好HEX文件后,将其导入到Proteus里的AT89C51芯片中。

图 5 导入HEX文件至AT89C51

(4)点击运行按钮进行软件仿真。

仿真时,显示数值与旋钮抽头电压相关,调动旋钮,按下复位键,数值改变,说明PCF8591工作正常。按下选手抢答按键,系统正常提示2s警告;

主持人按下开始后,选手抢答正确计分与显示编号,程序正常;主持人按下系统清除按钮,选手编号与分数消失,显示倒计时最大时间,程序正常。

(5)记录软件仿真结果,同时去机房进行硬件调试。

1.2 软件调试遇到的问题及解决过程说明

(1)PCF8591芯片与单片机通信发生错误,无论程序使用应答还是非应答,在I2C调试器里,都显示进行的是应答,同时发送的数据最后一位均不正确。

图 6 I2C调试器发现错误

后经过仔细排查,发现代码中的一处for循环i=1,程序出错,修改后,发送数据正确,但应答位仍然不正确。再次进行排查错误,发现是Noack程序里,sda 没有设成1,修改为0后,I2C调试器显示符合预期。

(2)仿真后,发现音响完全没声音,无论给它什么频率,都不发出声音。

图7 使用该器件无声音

后检查电路,发现电路没有问题,想到可能是元器件的问题,后将其改为SPEAKER,发现声音正常发出,问题解决。

(3)七段数码管显示错误,发现使用了不是实验箱上的共阴极数码管,修改位7SEG-MPX4-CC-BLUE后,问题解决。

1.3 软件仿真运行和硬件实际验证的区别

Proteus仿真软件使用起来十分顺手,但是在程序比较复杂,定时器溢出频率高时,仿真容易卡、慢。Proteus仿真软件进行仿真时,不需要画晶振电路和复位电路,是有效减少工作量,还可以使用虚拟示波器、I2C调试器等器件进行问题查找、结果确认,十分方便与快捷。但其仅仅运行在理想的条件下,缺少了现实里的许多因素影响,容易导致我们思考问题简单化。

硬件实际验证时,发现了很多软件仿真时没发现的问题,执行程序时,速度比仿真软件快很多,反应十分迅速,无论定时器溢出频率多高,都不会卡、慢,相比之下,结果更舒适。硬件实际验证时,需要考虑到硬件的局限性,进行程序与实际晶振频率的匹配,I/O口与相应模块的连线,总体需要考虑更多因素,不再是理想情况。

二、运行效果

1、Proteus电路图

图8 Proteus接线图

2、滑动变阻器在0%时,倒计时最大时间。

Time=20+255*30/255=50

图9 倒计时最大时间3、滑动变阻器在50%时,倒计时最大时间

Time=20+128*30/255=34

4、滑动变阻器在100%时,倒计时最大时间Time=20+0*30/255=20

5、选手进行抢答

图10 一号选手抢到

图11 三号选手抢到

图12 三号选手第二次抢到6、无人抢答,系统警告

图13 倒计时结束无人抢答,系统警告7、硬件仿真

图14 旋钮调到最小,显示20

图15 调节旋钮,时间改变

抢答、计分、计时结束

硬件仿真时,实际晶振频率与程序中所使用的不同,导致倒计时的速度很快。后修改程序,时间正常。

三、系统优化

3.1 软件设计

刚开始设计时,在主函数里发送方波以驱动扬声器发声,后发现声音频率变化,可能是每次主函数执行时间不同。后修改为使用定时器T1产生方波信号来驱动扬声器发声,修改后,声音频率正常,仿真却很卡。询问老师后,了解到是仿真软件发出脉冲的时间变慢了,这没法去除。

本来准备使用独立式键盘,后翻阅实验指导书了解到,实验箱上只有4个独立按键可供使用,后调整为2*3矩阵键盘,修改相应程序以便完成硬件验证。

3.2 硬件设计

一开始,使用Proteus里的电路图进行硬件连接,连接好后硬件验证发现数码管的a段一直亮,仔细检查后发现是实验箱I/O口出现故障,之后连续换了三

四个实验箱,终于找到了一个实验箱数码管显示正确,但却有一个数码管不亮,确认是I/O口故障后,将程序里的循环变量0x7f改为0x77,将第一位线接到另一个I/O口后,数码管显示正确。之后程序正常运行起来,但是倒计时却不符合要求,仔细检查后,发现实验箱晶振频率为11.0592Mhz,我的程序里面用的是12Mhz,修改了各个定时器的初值,重新硬件验证,现象变为正常。由于实际的运行有很多不确定的因素,因此软件仿真不能完全符合实际要求,具体的优化还需要进行实际电路验证来完成。

四、设计总结

这次课程设计,成功的实现了四位竞赛抢答器的功能,并对I2C通信协议有了更深刻的理解,也更清晰的了解到了Proteus软件仿真的局限性,以及硬件验证的复杂性。本次课程设计,使用的AT89C51芯片虽然已经较为老旧,但是也能实现像这种简单而又实用的功能,也让我明白了程序的书写更为重要。其次也了解了74LS244和74LS240芯片的作用和用法,虽然在编写程序过程中有很多不会的点,但通过查找资料和反复修改,最终成功的编写出了源程序并实现了设计所要求的功能。对一些典型的算法及应用也有了更加深刻的理解,对之后的编程有着很大的帮助,大大促进了对单片机及C51编程的学习。

本次设计,我分了4个模块进行分析,第一部分是矩阵键盘的扫描、第二部分是倒计时功能的实现、第三部分是音响提示功能、第四部分是模数转换功能。这四部分中,只有第四部分模数转换比较困难,花费了我大量的时间,才得以完成,其他三个部分单独实现都比较简单,但是组合起来实现时,各个程序之间的关系就变得复杂起来,这让我花费了许多时间使其成功组合在一起。过程中虽然出现很多问题但经过不断调试分析,最终都解决了这些问题,系统的调试是一个很繁琐的过程,需要有耐心,细心的进行调试。

在代码设计阶段,我们最大的问题就是前期没有总体把握,代码冗余前后紊乱,参考了大量的代码后,我们改进了我们代码的书写方式如做成模块方便调用,添加注释,显得干净而整洁。代码内部关于寄存器内部数据比较输出等还有些问题,尤其是对程序设计语句的理解和运用,不能够充分理解每个语句的具体含义,这里有待更深一步的学习。

经过这次课程设计后,我总结出绘制电路不仅要能实现功能,还要符合实验箱上的硬件原理图,以便于自己后面的硬件验证,事实证明,我这样做确实使我的硬件验证比其他没有按照原理图来连接的同学来说简单了许多,没有大面积修改程序。如何根据想要实现的功能选择合适的芯片模块,实现正确的功能才是最重要的,这次的设计在设计之初也走了不少弯路,好在思路清晰:键号采集、模

数转换、I2C通信、CPU处理、控制输、音响提示,现在回想起来倒也不难。

这次课程设计是自己安排时间使用Proteus软件独立设计,老师给的参考图完全依靠自己查阅资料,所以在设计开始的时候走了不少弯路,遇到了很多困难。开始时思路很不清晰,无从下手,经过一天的思考才具体的制定出一套理论上可行的方案,仅仅能实现矩阵键盘读取数值,不能减计数和显示等很多实际上的考虑。设计电路中间我们也遇到很多原理性的问题,比如单片机如何写定时器工作代码,矩阵键盘如何读取键值方便调用等。为了能解决这些问题,我重新温习了一下课堂上学过的单片机知识,参考了很多芯片文件,并且在百度上查阅了许多文档,使自己在这方面的知识逐渐的丰富了起来,水平有了明显的提高。

然而遇到问题还是很多没有解决,在理论情况下能实现的功能,在硬件验证情况下很难实现。存在的问题很多:源码错误不断、接线错误、不能实现功能等等情况,都导致了实验不能完全成功。而且,经过这次实验,我明白了以下两点:一、前期的布局尽可能合理,这个可以为接下来的调试节省很大的精力;其次,在实验时一定要细心操作,集中注意力,避免犯小错误;最后,并不是所有的实验都是理论上可行实际上就能运行的,学会调试找出其中的问题远远要比接线操作更重要。

经过本次课程设计,我都单片机系统的设计与调试的过程有了更深刻的认识,明白了调试的先后顺序,懂得了功能的模块化实现,明白了问题的查找与解决方法,更重要的是,我明白了前期准备的重要性,前期准备的越充分,后面完成起来就更快捷、流畅。本次课设,我从中学到了课堂上没法传授的知识,收货颇丰。

附件:

#include

#include

#define uchar unsigned char

#define uint unsigned int

sbit X1=P1^0;

sbit X2=P1^1;

sbit Y0=P1^2;

sbit Y1=P1^3;

sbit Y2=P1^4;//2*3矩阵键盘使用的端口

sbit scl=P3^0;//定义scl 端口

sbit sda=P3^1;//定义sda端口

sbit sound=P3^5;//定义音频输出的端口

char code dx516[3] _at_ 0x003b;//硬件调试时必须加入的代码

uchar display[4]={10,10,10,10};//四位数码管显示的数组

uchar score[4]={0,0,0,0};//记录四位选手的分数

uchar seg[12]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,0xff,0xbf}; //共阳极数码管段码

uchar keyval=10,countdown,t=20,ct=3;

char buzzy;

bit clrflag=0,startflag=0,keyflag=0,one=1,two=1;//定义各种标志位

void delay5us();//函数声明

void i2c_init();

void i2c_start();

void i2c_stop();

void i2c_ack();

void i2c_noack();

void i2c_sendByte(uchar data1);

void send();

uchar gettime();

uchar i2c_recByte();

void keyscan();

void delay(uint x)//延时函数

{

uchar z;

while(x--) for(z=0;z<100;z++);

}

void delay5us()//延时5us函数,用于模拟I2C通信{

_nop_();_nop_();_nop_();_nop_();_nop_();

}

void main()//主函数

{

uchar i,j=0x77;

TMOD=0x11;//使用T0、T1方式一

TH0=19456/256;

TL0=19456%256;

TH1=64876/256;

TL1=64876%256;

ET1=1;

ET0=1;

PT0=1;

EA=1;//开中断

send();

do{

countdown=gettime();//获取PCF8591的数据

}while(ct--);

while(1)

{

keyscan();//键盘扫描

display[2]=countdown/10;//显示到数码管上

display[3]=countdown%10;

for(i=0;i<4;i++)//动态显示程序

{

j=_crol_(j,1);

P2=0xff;

P0=seg[display[i]];//数组的嵌套使用

P2=j;

delay(5);

}

}

}

void keyscan()//键盘扫描程序

{

delay(10);

X1=0;X2=1;

if(Y0==0) {keyval=1;keyflag=1;}

if(Y1==0) {keyval=2;keyflag=1;}

if(Y2==0) {keyval=3;keyflag=1;}

delay(10);

X1=1;X2=0;

if(Y0==0) {keyval=4;keyflag=1;}

if(Y1==0) startflag=1;

if(Y2==0) clrflag=1;

if(startflag)

{

if(!keyflag) TR0=1;//按下开始,定时器T0启动

if(two) {buzzy=2;TR1=1;two=!two;}//2s单音提示

if(keyflag && one)

{

display[0]=keyval;//编号锁存

display[1]=11;

score[keyval-1]++;//分数记录

countdown=score[keyval-1];//分数显示

one=!one;

TR1=1;

buzzy=2;//2s提示音}

if(clrflag)//系统清除按键{

startflag=0;

keyflag=0;

TR0=0;

one=1;

two=1;

countdown=gettime();

display[0]=10;

display[1]=10;

TH0=19456/256;

TL0=19456%256;

t=20;

clrflag=0;

}

}

else

{

if(clrflag) //系统清除按键{

countdown=gettime();

clrflag=0;

}

if(keyflag)//选手违规抢答

{

TR0=1;

TR1=1;

buzzy=2;//2s警告单音提示

keyflag=0;

}

}

void timer0() interrupt 1//定时器T0中断服务子程序{

TH0=19456/256;

TL0=19456%256;

t--;

if(t==0)

{

t=20;

if(buzzy>0) buzzy--;

else {TR1=0;if(startflag==0&&TR0==1) TR0=0;}

if(countdown>0&&startflag&&!keyflag) countdown--;

if(countdown==0 && startflag )

{

buzzy=2;//计时结束,未抢答,2s警告

TR1=1;//2s

startflag=0;

}

}

}

void timer1() interrupt 3//定时器T1,确定声音频率{

TH1=64876/256;

TL1=64876%256;

if(buzzy>0) sound=!sound;

else sound=0;

}

void i2c_init()//I2C初始化程序

{

scl=1;

sda=1;

delay5us();

}

void i2c_start()//I2C开始标志(S){

scl=1;

sda=1;

delay5us();

sda=0;

delay5us();

scl=0;

}

void i2c_stop()//I2C停止标志(P){

scl=0;

sda=0;

delay5us();

scl=1;

delay5us();

sda=1;

}

void i2c_ack()//I2C接受应答位

{

sda=1;

_nop_();

scl=1;

_nop_();

if(sda)

{

scl=0;

i2c_stop();

}

else

数字逻辑课程设计方案智力竞赛抢答器逻辑电路设计方案

内蒙古师范大学计算机与信息工程学院《数字电路》课程设计报告 设计题目智力竞赛抢答器逻辑电路设计指导教师戚桂美职称讲师 姓名*** 学号2009******* 日期2011/7/12

智力竞赛抢答器逻辑电路设计 计算机与信息工程学院 2009级 2009******* 指导教师戚桂美讲师 摘要设计一个可以容纳4名选手或4个代表队比赛的抢答器。设置一个系统清除和抢答控制开关S,该开关由主持人控制。抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并将优先抢答选手的编号保持到显示器上,直到主持人将系统清除为止。 关键字抢答器电路图 74LS74 1引言 智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短的时间内,使人们增加些科学知识和生活常识。 在进行智力竞赛抢答时,各参赛者考虑好后都想抢先答题。如果没有合适的设备,有时难以分清它们的先后,是主持人感到为难。为了使比赛能顺利进行,需要有一个能判断抢答先后的设备,来做一个公正的裁判员。称之为智力竞赛抢答器。 2设计任务及主要技术指标和要求 2.1 主要的设计指标和要求 主持人没有宣布抢答开始时,抢答不起作用;在主持人宣布抢答开始后,可以进行抢答。它的任务是从若干名参与者中确定出最先的抢答者,立即将其编号锁存,并在LED数码管上显示选手的编号,同时用声和光提示。此外,封锁输入电路,禁止其他选手抢答,优先抢答选选手的编号一直保存到主持人将系统清零为止。为此我们小组决定就这次机会设计一个低成本但又能满足需要的四路智力竞赛抢答器。

2.2 设计任务和要求 (1) 设计一个可以容纳4名选手或4个代表队比赛的抢答器。 (2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。 (3) 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并将优先抢答选手的编号保持到显示器上,直到主持人将系统清除为止。 3工作原理 接通电源后: 主持人的开关拨到“清除”状态,此时抢答器处于禁止状态,编号显示器处于“0”;主持人将开关设置为“开始”状态,并宣布“开始抢答”,此时抢答器开始工作。 当参加智力竞赛的选手摁下手中的抢答器时,蜂鸣器和LED数码管会用声和光提示,并显示该小组的编号。 只有最先抢答者的编号才能被锁存,并在LED数码管上显示选手的编号,同时用声和光提示。 由以上两个条件可以想到: 用D触发器来实现,D触发器是一个输出跟输入一样的触发器。 将主持人的开关和D触发器的清零端相连。 D触发器是一个在CP脉冲上升沿时反转的触发器。所以只要有一个抢答器输出为1时,就让所有抢答器的脉冲没有上升沿,这样就轻而易举的让其他小组的抢答无效了。 蜂鸣器和LED数码管的提示延续到主持人清零为止,不能在变。 当一轮抢答结束后,主持人将其清零,准备下一轮抢答。

人竞赛抢答器实验报告

数电实验报告 姓名:侯婉思 专业:通信工程 班级:1111 学号: 指导老师:田丽娜 四人竞赛抢答器实验报告 一.前言 现今,形式多样、功能完备的抢答器已广泛应用于电视台、商业机构、学校、企事业单位及社会团体组织中,它为各种知识竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。 对于抢答器我们大家都知道那是用于选手做抢答题时用的,选手进行抢答,抢到题的选手来回答问题。抢答器不仅考验选手的反应速度同时也要求选手具备足够的知识面和一定的勇气。选手们都站在同一个起跑线上,体现了公平公正的原则。 本文介绍了一种用74系列常用集成电路设计的高分辨率的4路抢答器。该抢答器为全数字集成电路设计,具有分组数多、分辨率高等优点。该抢答器除具有基本的抢答功能外,还具有优先能力,定时及复位功能。主持人通过控制开关使抢答器达到复位的功能。 二.实验目的 1. 学习并掌握抢答器的工作原理及其设计方法 2. 熟悉各个芯片的功能及其各个管脚的接法。 3. 灵活运用学过的知识并将其加以巩固,发散思维,提高学生的动手能力和思维的缜密。 三.设计任务与要求 1、设计任务 设计一台可供4名选手参加比赛的竞赛抢答器。选手抢答时,数码显示选手组号。 2.设计要求: 抢答器的基本功能: 1.设计一个智力抢答器,可同时供四名选手或四个代表队参加比赛,编号为一,二,三,四,各用一个抢答按钮,分别用四个按钮S0——S3表示。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管清零)。 3.抢答器具有数据锁存和显示的功能,抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,此外,要封锁输入电路,实现优先锁存,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清零为止。

四路智力竞赛抢答器设计

数电课程设计 智力竞赛抢答器 姓名:郭堃 学号:200800800050 学院:信息工程学院专业:通信工程 年级2008级 指导教师:张军蕊

四路多路智能抢答器设计 前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。 前言 (1) 一、实验目的 (2) 二、实验内容和要求 (2) 三、设计思路 (2) 四、电路设计 (2) 1.电路设计指标 (3) 2.仿真电路组成 (4) (1)四路抢答器原理 (4) (2)定时器实现 (5) (3)计数电路 (6) (4)完整电路 (7) 五、总结与体会 (7) 六、参考文献 (8)

一、实验目的 1.学习智力竞赛抢答器电路的工作原理。 2.学习综合数字电子电路的设计、实现和调试方法。 二、实验内容和要求 设计实现一个可容纳四组参赛者的数字智力竞赛抢答器。 要求:每组设置一个抢答按钮供抢答者使用;电路具有第一抢答信号的鉴别和锁存功能。在此基础上再增加计分电路和犯规电路。 三、设计思路 可将整个系统分为三个主要模块:抢答鉴别模块QDJB ;抢答计时模块JSQ ;抢答计分模块JFQ 。整个系统的组成框图如图5-5-8所示。 图--1 智力竞赛抢答器系统框图 四、电路设计 CLR A B C D 抢答 鉴别 电路 ALED BLED CLED DLED 组别显示译码 电路 组别显示 计分 电路 SCOREA SCOREB SCOREC SCORED ADD 计时 电路 LOAD L1 L0 CLK EN 计时显示译码 电路 JSXS1 JSXS0

数电智力竞赛抢答器课程设计完全版

电子技术 课程设计 成绩评定表 设计课题:智力竞赛抢答器 学院名称:电气工程学院 专业班级:电气1503 学生:段帅朋 学号: 201523010310 指导教师: 设计地点:31-220 设计时间: 2017.6.26-2017.7.2

电子技术课程设计任务书

目录 1.绪论 (3) 1.1设计目的 (3) 1.2 设计要求 (3) 2.方案设计 (3) 2.1系统工作流程图 (3) 2.2 元器件清单 (4) 2.3主要元器件选择与分析 (5) 2.3.1 轻触开关 (5) 2.3.2 74LS192计数芯片 (5) 2.3.3共阴极数码管以及其驱动芯片74LS48 (6) 2.3.4 74LS175四路D触发器 (7) 2.3.5 555定时器 (8) 2.3.6 集成门电路 (8) 2.3.7 无源蜂鸣器 (8) 3.原理分析 (9) 3.1 抢答必答模式选择及其指示电路 (9) 3.2 抢答电路 (9) 3.3 脉冲产生电路 (10) 3.4单稳态定时电路 (11) 3.5 定时电路 (12) 3.6 音响电路 (13) 3.7整机电路分析 (13) 3.8加减分数电路 (14) 4.设计总结 (15)

1.绪论 1.1设计目的 1、注重培养学生正确的设计思想,掌握课程设计的主要容、步骤和方法。 2、巩固加深对电子技术基础知识的理解,培养学生发现问题、独立分析问题、解决问题,提高综合运用所学知识的能力。 3、通过查找资料、选方案、设计电路、写报告等环节的训练,熟悉设计的过程、步骤。为以后从事电子电路设计、研制电子产品打下基础。 4、了解电子线路设计的工程,学会书写设计说明书。 5、培养学生严肃、认真的科学态度和工作作风。 6、在课余实践,有效地激发学生对电子设计的兴趣,丰富课外生活。 7、培养学生自主学习能力,扩展知识面。 8、提高动手能力的同时对常用的集成芯片有一定的了解,在电路设计方面有感性的认识。 9、另外还要掌握电路原理和分析电路设计流程,每个电路的设计都要有完整的设计流程。这样才能在分析电路有良好的思路,便于找出错的原因。 1.2 设计要求 用TTL或CMOS集成电路设计智力竞赛抢答器逻辑控制电路,具体要求如下: 1. 抢答组数为4组,输入抢答信号的控制电路应由无抖动开关来实现。 2. 判别选组电路。能迅速、准确地判处抢答者,同时能排除其它组的干扰信号,闭锁其它各路输入使其它组再按开关时失去作用,并能对抢中者有光、声显示和呜叫指示。 3. 计数、显示电路。每组有三位十进制计分显示电路,能进行加/减计分。 4. 定时及音响。必答时,启动定时灯亮,以示开始,当时间到要发出单音调“嘟”声,并熄灭指示灯。抢答时,当抢答开始后,指示灯应闪亮。当有某组抢答时,指示灯灭,最先抢答一组的灯亮,并发出音响。也可以驱动组别数字显示(用数码管显示)。 2.方案设计 2.1系统工作流程图 系统流程图如2.1所示,控制电路是核心组成部分,它控制抢答电路、音响电路、指示灯电路以及定时电路。主要由门电路与门、与非门、或门等实现控制逻辑。主持人和参赛选手都是通过按钮输入控制信号到控制电路,通过控制电路的逻辑实现对各个模块的控制。1K脉冲主要用于触发器时钟,秒脉冲主要用于计时器。

竞赛抢答器设计报告

一、设计内容: 为了保证抢答竞赛的公正性、准确性、提高竞赛得分的透明性并能产生激烈的竞赛气氛,要求由电子电路实现一个多人参赛,具备限时开始抢答, 限时结束抢答, 抢答结束后有声、光指示并能加/减计分的“竞赛抢答器”。 二、主要要求及指标: 1. 设一个主持人按键, 供主持人宣布抢答开始. 抢答开始后, 四个抢答 按键才有效, 同时启动限时定时器。 2. 设四个抢答按键供四人抢答使用,第一个抢答键按下后要锁住抢答器, 并用声、光指示,要显示是几号按键抢到。后按的抢答键不起作用。 3. 安排倒计数定时器。开始后若预定时间内无人抢答,自动给出信号停止 抢答。倒计数定时器的时间可以随意预置。倒计数计数脉冲要准确。 4.设两个计分按键,加/减由主持人控制。 5.每组安排一个三位加/减可逆计数器实现计分,从预置的100分开始,答对者加10分,答错则扣10分。 三、设计思路及电路工作原理: 设计思路及原理 根据要求可以将电路分为三大部分,控制抢答结果的抢答电路;负责倒计时的倒计时电路;完成分数加减的计分电路。其中抢答电路最为重要,倒计时电路与计分电路都由抢答电路控制,倒计时电路与计分电路有很多相似的地方所用的芯片应该相似,关键在于如何将三个电路联系在一起。 抢答电路要实现的功能是在主持人开关控制下能够让四个选手抢答,并且在第一个人抢答之后其他人不能抢答。这就要求电路能够进行优先编码并且能够锁存优先编码。编码器使用74LS148能够实现四位的抢答,要实现锁存只需要将该芯片的使能端EI变成高电平。能够让主持人控制编码器可以通过基本RS触发器或者与非门实现,但是后者显然逻辑会比较复杂所以放弃。 倒计时电路,可以通过秒脉冲触发计数器的使其实现倒计时,同时要在有选手抢答后停止倒计时,就需要计数器有一个类似使能端的端口。使用74LS192芯片可以将秒脉冲接入DOWN端UP端接高电平能够实现单一的倒计时。需要倒计时停止的时候,可以给CLR端输入高电平,此时计时器清零。同时也可以使用

4路智力竞赛抢答器

信息与电气工程学院 课程设计说明书(2013 /2014学年第二学期) 课程名称:单片机应用 题目:4路智力竞赛抢答器 专业班级:自动化 学生姓名: 学号: 指导教师: 设计周数:两周 设计成绩: 2014年07月10日

一、课程设计目的 通过长达两周的单片机课程设计,使我加深对《单片机原理及应用》课程所学理论知识的理解,并将理论与实践相结合。结合课程设计的内容,学会单片机最小系统及外围电路的设计,基本电子元器件的使用,利用Protel软件绘制电路原理图和PCB图,编写程序并结合protues进行仿真,检查电路的合理性及可行性,进行软硬件联机调试,发现问题并解决问题。学会查阅相关专业技术资料及设计手册,提高团队合作及独立解决问题的能力。 二、课程设计任务及要求 2.1 原始数据及主要任务 采用STC90C52作为控制单元,实现4路智力竞赛抢答器的设计。 1.设计键盘输入电路。 2.设计显示电路。 3.合理分配地址,编写系统程序。 4.利用Protel设计硬件电路原理图和PCB图。 5.用protues仿真 2.2 技术要求 设计一台以MCS-51为核心的可供4名选手参加比赛的智力竞赛抢答器。4名选手各一个按键抢答,主持人一个按键用来系统清零和开始;1个数码管显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器响;选手抢答成功时,一个数码管显示选手号,同时蜂鸣器发3声间隔1秒笛响,倒计时停止。初始状态,2个数码管均为0,当抢答成功时,时间数码管显示暂停时间,选手号数码管显示选手号,直到系统清零。 三、设计思路及原理 3.1 设计思路 设置5个独立按键,其编号分别是1到5,key1为主持人按键,key2-key5分别为1-4号选手按键。主持人未按键时,其他选手抢答无效,只有当主持人按下开始按键时才可以进行抢答,左边数码管从“9”到“0”开始倒计时,当4个按钮只要有一个按下即只要有人抢答时,左边数码管倒计时停止,右边数码管显示选手编号,蜂鸣器发出3声间隔1秒笛响,选手前面的指示灯也一同点亮,其他抢答都无效。当选手答完后,由主持人按键将数码管清零,继续开始抢答。若10秒内无人抢答,则声鸣器发出超时报警声。选用两个共阳极数码管作为显示,数码管倒计时采用定时器T0进行1S钟计时。 3.2设计原理图

智力竞赛抢答器设计报告(DOC)

数字电路课程设计智力竞赛抢答器设计报告

目录 一、设计题目 (1) 二、设计要求与设计说明 (1) 三、课题分析与设计说明 (2) 四、设计思路及原理 (2) 五、单元设计及实现 (3) 1、抢答信号产生电路 (3) 2、编码电路 (3) 3、锁存电路 (4) 4、译码电路 (5) 5、延时电路 (6) 6、振荡电路 (7) 六、总体设计及实现 (9) 七、调试仿真 (10) 八、零件表 (12) 九、设计总结 (13) 十、参考资料 (13)

一、设计题目 智力竞赛抢答器 二、设计要求与设计说明 1、最多可以容纳5名选手或5个代表队参加比赛,他们的编号分别为1、 2、 3、 4、5,各用一个抢答按钮,其编号与参赛者的号码一一对应,此外,还有一个按钮给主持人用来清零,这些按钮(共六个)均采用自制的触摸按钮。 2、抢答器具有数据锁存功能,并将所锁存的数据用LED数码管显示出来。主持人将抢答器清零后,若有参赛者的手指触及抢答器触摸按钮,数码管立即显示出最先动作的选手的编号,同时蜂鸣器发出间歇式声响,声音持续时间约一秒钟。 3、抢答器对参赛选手动作的先后有很强的分辨能力。即使他们动作的先后只相差几毫秒,抢答器也能分辨出来。也就是说,数码管不显示后动作选手的编号,只显示先动作选手的编号并保持到主持人清零为止。 4、在各抢答按钮为常态时,主持人可用清零按钮将数码管变为零态,直至有人使用抢答按钮为止。 5、画出总体电路图并列出元器件清单。

三、课题分析与设计说明 智力竞赛抢答器的抢答部分由抢答信号产生电路、编码电路组成,锁存和显示最先动手选手编号的功能由锁存电路、译码电路组成,延时电路在按钮按下后提供一个约一秒的电平信号,经振荡电路振荡送至蜂鸣器,使蜂鸣器产生约一秒的提示音。主持人清零功能由信号产生电路和锁存电路共同实现。 四、设计思路及原理 模块化电路:方便电路安装和调试。 将电路分为抢答信号产生电路、编码电路、锁存电路、译码电路、延时电路、振荡电路。 抢答信号产生电路是一组自恢复按钮,提供用以编码的电平信号,编码电路实现将按钮编号编码为二进制数,锁存电路实现对编码的二进制数的锁存,以及将二进制数输入译码电路,译码电路实现将二进制数译码并显示在数码管上,延时电路在按钮按下后产生一个约一秒的电平信号,触发振荡电路,振荡电路起振,蜂鸣器产生约一秒的间断提示音。

抢答器实验报告要点

扬州大学能源与动力工程学院本科生课程设计 题目:四人智力竞赛抢答器 课程:数字电子技术基础 专业:电气工程及其自动化 班级:电气0901 学号:091302111 姓名:冯承超 指导教师:年漪蓓蒋步军 完成日期: 2011年6月24日

总目录第一部分:任务书 第二部分:课程设计报告 第三部分:设计图纸

第一部分 任 务 书

《数字电子技术基础》课程设计任务书 一、课程设计的目的 1、使学生进一步掌握数字电子技术的理论知识,培养学生工程设计能力和综合分析问题、解决问题的能力; 2、使学生基本掌握常用电子电路的一般设计方法,提高电子电路的设计实验能力; 3、熟悉并学会选用电子元器件,为以后从事生产和科研工作打下一定的基础。 二、课程设计的要求 1、设计时要综合考虑实用、经济并满足性能指标要求; 2、必须独立完成设计课题; 3、合理选用元器件; 4、按时完成设计任务并提交设计报告。 三、课程设计进度安排 1、方案设计;(半天) 2、电路设计:(一天) 3、装配图设计:(半天) 4、电路制作:(两天) 5、总结鉴定:(一天) 四、设计要求 1用中小型规模集成电路设计出所要求的电路; 2、在实验箱上安装、调试出所设计的电路。 3、部分课题要求用可编程逻辑器件(FPGA/CPLD)设计实现; 4、在EDA编程实验系统上完成硬件系统的功能仿真。 5、写出设计、调试、总结报告。 五、使用仪器设备 1、稳压电源(±5V,±15V); 2、实验电路箱; 3、低频信号发生器; 4、示波器。 六、设计总结报告主要内容 1、任务及要求; 2、方案特点; 3、各组成部分及工作原理(应结合框图写); 4、单元电路设计与调试; 5、总逻辑图; 6、总装配图。

数字逻辑课程设计十路抢答器

数字逻辑系统 课程设计 项目:十路智力竞赛抢答器 班级: 09电子A班 姓名:刘金梁 学号: 0915211039 题目及要求: 题目4多路智力竞赛抢答器 一、任务 设计一个多路智力竞赛抢答器。 二、设计要求 1、基本要求 <1)设计一个4路<1~4)智力竞赛抢答器,主持人可控制系统的清零和抢答的开始,控制电路可实现最快抢答选手按键抢答的判别和锁定功能,并禁止后续其他选手抢答。<2)抢答选手确定后给出一声音响的提示和选手编号的显示,抢答选手的编号显示保持到系统被清零为止。 2、发挥部分 <1)扩展为10路<1~10)智力竞赛抢答器。 <2)设计抢答最长时间<30秒)限制和倒计时显示。 1)根据题目要求设计系统总框图及总原理图如下:

下面分模块对各个部分进行方案选取和论证: 1.抢答按钮 抢答顾名思义就是要求快速,方便,故选用微动开关,而不选用别扭的拨动开关。 2.译码电路及数码显示 译码电路主要有两种,一种是用芯片进行译码,比如74ls148(8-3译码器>,可用两片组合成16-4译码器,选取其中10路。下图为四路采用148进行译码的范例 另一种是利用加二极管防止反向然后直接连接到4511等七段显示译码器如下图

个人认为第二种方法更简单、便捷,故采取第二种。 3.锁存器 锁存器采用74ls74 D触发器,经过4个或门处理 当有按键按下去的时候置高,从而 D 触发器5 端输出为高电平反馈到 4511 的 5 端<使能端),从而实现锁定功能。 4.报警电路

因为要求抢答报警时只能响一声,故用555另配合电阻、电容可形成大约1秒 单稳触发器,因为 低脉冲的时候触发 而按键按下置高, 故需加一反向器, 用或非74ls02也可。 T=RC*ln3=1.1RC,故电阻取10u电阻取100k。 5.减数及译码电路 要产生1hz的秒脉冲,同样选用555定时器,接法如下电路, 故选用电容100u,则计算出=14.3k,选用 R1=4.3K,R2=5K。减计数芯片选用十进制74ls192,接法如下,把秒脉冲输入到底下那片的4脚,计数十次后在13脚会产生一个脉冲,输入到上面那片,而上面那片从3减到0后13脚也产生一个负脉冲输入74ls74的清零端,并使74的输出负端接到计数器的置数端使之一直置数,认为倒计时结束,显示部分仍用4511译码显示 6.主持人开关

数字式竞赛抢答器设计报告

数字式竞赛抢答器设 计报告 1、设计任务与要求 (1)设计一个可容纳7组参赛的数字式抢答器,每组设一个按钮,供抢答使用。 (2)抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。 (3)设置一个主持人“复位”按钮。 (4)主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,由指示灯显示抢答组的编号,同时扬声器发出2~3秒的音响。 选做扩展功能: (5)设置一个计分电路,每组开始预制100分,由主持人计分,答对一次加10分,答错一次减10分。 2、设计原理

定时抢答器的总体框图如图1所示,它由主体电路和扩展电路两部分构成,主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成各选手的得分显示功能。 定时抢答器的工作过程是:接通电源时,主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯;抢答开始时,主持人将控制开关拨到“开始”位置,扬声器给出声响提示,抢答器处于工作状态,这时,抢答器完成以下工作:(1)优先编码器电路立即分辨出抢答者编号,并由锁存器进行锁存,然后由译码显示电路显示编号;(2)扬声器发出短暂声响,提醒主持人注意;(3)控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答;(4)当选手将问题回答完毕,主持人操作计分开关,计分电路采用十进制加/减计数器、数码管显示。本轮抢答完毕,主持人操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。 单元电路设计: (1)抢答电路 抢答电路包括抢答按钮、优先编码电路、锁存器、译码显示电路。抢答电路的功能有两个:一是能分辨出选手按键的先后,并锁存优先抢答者的编号,供译码显示电路用;二是要使其他选手的按键操作无效。

四人抢答器实验报告

福州大学电气工程与自动化10级 设计性实验报告 实验目的: 1、掌握电路板焊接技术; 2、学习调试系统电路,提高实验技能; 3、了解竞赛抢答器的工作原理及其结构。 实验所用原件清单: 芯片:74LS175,74LS192,74LS48x2,74LS00,74LS20x2,555,电阻:

R1=1K,R2=10K,电容:C=0.1μF,七段共阴极数码管x2 原理(包括主要公式、电路图): 如下图所示为四人抢答电路,电路中的主要器件是74LS175型四上升沿D触发器、74LS192可逆十进制计数器和两个译码显示电路。 抢答前先用RD’清零,Q1~Q4均为0,相应的选手编号数码管显示0;Q1’~Q4’均为1,G1输出0,G2输出1,CP1可经过G3输入到74LS175。同时,倒计时数码管被置为9。抢答开始,RD’置1,倒计时开始。若S1首先按下,则D1和Q1均变为1,相应的选手编号数码管显示1(以此类推);555芯片的4脚接收到高电平,发生振荡,导致喇叭发出声音;同时,G2输出为0,使得175和192芯片不接收脉冲,175芯片进入自锁状态,此时再按S1~S4无效果,而192芯片也停止计时,倒计时数码管保持抢答时的数字不变。若倒计时到0,S1~S4均未按下,则倒计时停止,倒计时数码管保持0;175芯片进入自锁状态。 利用RD’清零,进入下一次抢答。

心得体会及其他: 1、本次设计性实验令我受益匪浅:在设计的过程中,对于各个芯片管脚功能和四路抢答电路原理的学习让我得到了更多知识;对电路板的元件布局锻炼了我的思维能力;在焊接过程中,我又一次提高了我的焊接技术和排查短路、虚焊的能力;在调试过程中,我懂得了分功能、局部进行故障排查,并取得良好效果。 2、故障排查: (1)、电路板电源与地线短路:可逐个对接电源、地的点进行排查(排查时应把它们和响应的电源或地断开)。 (2)、数码管个别段不能发光:怀疑为数码管管脚之间短路,可用电烙铁肃清两脚之间的间隙。 (3)、抢答功能不能实现:抢答功能局部电路接错或虚焊,可用

智力竞赛抢答器设计

智力竞赛抢答器设计

项目任务书题目:智力竞赛抢答装置的设计与调试 系部电子信息工程学院 学科门类工学 专业光电信息工程 2014年12月15日

智力竞赛抢答装置的设计与调试 摘要 随着科学技术的不断发展,促使人们学科学、学技术、学知识的手段多种多样。抢答 器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低,减少兴致。做为一个单位若专购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏, 再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。 关键词:抢答电路定时电路报警电路时序控制

目录 一引 言 (1) 1.1课题来源及意义 (2) 1.2 研究现状及趋 势 (3) 二系统设计 (4) 2.1概述 (5) (阐述抢答器的系统原理,包括综述,组成框图及各部分介绍) 2.2方案比较 (6) (总结各个方案的优缺点,比较几种方案) 2.3 方案确定 (7) (通过上节内容中的几种方案的比较,得出最优方案,并详细介绍)三电路设计与调试 (8) (包括单元电路设计,参数计算,元器件选型,最终得出总电路图,并阐述调试方法与过程)

四总结与展 望 (9) (总结你的设计方案的优缺点,并提出改进方案) 4.1总结 (10) 4.2展 望 (11) 参考文献 (12) 附录 (13) (附系统总体电路图,用正规软件绘制)

课程设计三路抢答器

三人抢答计时器 一、摘要 智力竞赛抢答计时器是一名公正的裁判员,它的任务是从若干名参赛者中确定出最先的抢答者,并要求参赛者在规定的时间里回答完问题。 二、设计要求 1.设计一个三人参加的智力竞赛抢答计时器。 2.当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响。此时,抢答器不再接收其他输入的信号。 3.电路具有回答问题时间控制功能。要求回答问题的时间小于等于100秒(显示0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 三、给定条件及元器件 1.要求电路主要选用中规模CMOS集成电路CC 4000 系列。 2.电源电压为5 ~ 10 V 。 3.本设计要求在数字电路实验箱上完成。 四、设计内容 1.电路各部分的组成和工作原理。 2.元件器的选取及其电路图和功能。 3.电路各部分的调试方法。 4.在整机电路的设计调试过程中,遇到什么问,其原因及解决的办法。 五、电路组成和工作原理

图(一) 根据上面所说的功能要求,智力竞赛抢答计时系统的组成框如图(一)所示。 它主要由六部分组成; 1、抢答器——是三人抢答计时器的核心。当参赛者的任意一位首先按下抢答开关时,抢答器即刻接受该信号,指使相应发光二级管亮(或音响电路发出声音),与此同时,封锁住其他参赛者的输入信号。 2、抢答控制电路——由三个开关组成。三名参赛者各控制一个,拨动开关使相应控制端的信号为高电平或低电平。 3、清零装置——供比赛开始前裁判员使用。它能保证比赛前触发器统一清零,避免电路的误动作和抢答过程的不公平。 4、显示声响电路——比赛开始,当某一参赛者按下抢答器开关时,触发器接受该信号,在封锁其他开关信号的同时,使该路的发光二极管发出亮光和蜂鸣器发出声响,以引起人们的注意。 5、计时显示声响电路——是对抢答者回答问题时间进行控制的电路。若规定回答问题时间小于等于100秒(显示为0—99),那么显示装置应该是一个二位数字显示的计数系统。 6、振荡电路——它应该提供给抢答器,计时系统和声响电路工作的控制脉冲。

数电课程设计八路智力竞赛抢答器设计

数电课程设计八路智力竞赛抢答器设 计

课程设计任务书 题目: 八路智力竞赛抢答器设计 初始条件: ◆教材:《电子线路设计·实验·测试》第三版谢自美主编华中 科技大学出版社 ◆元器件:74LS48三片,72LS192两片,74LS279、74LS148、 74LS00、74LS11、555各一片,数码管三个,发光二极管一个,开 关、电阻、电容若干,面包板,导线若干 ◆仿真:Proteus仿真软件 要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) ◆多路智力竞赛抢答器功能要求: 基本功能: 1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,她们的编号分别是0、1、2、3、4、5、6、7,各用一个抢 答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、 S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。

3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编 号,同时扬声器给出音响提示。另外,要封锁输入电路,禁止其 它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零 为止。 扩展功能: 1.抢答器具有定时抢答的功能,且一次抢答的时间能够由主持人设定。当节目支持人按下“开始”按钮后,要求定时器立即倒计 时,并在显示器上显示,同时扬声器发出短暂的声响,声响持续 时间0.5s左右。 2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系 统清零为止。 3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显 示器上显示00. 报告要求: 课程设计的内容要求用A4纸打印,且页数不得少于20页。 时间安排:

八路智力竞赛抢答器设计实验报告

数字电子技术课程设计 题目: 八路智力竞赛抢答器设计 姓名: 专业: 电子科学与技术 班级: 122班

学号: 指导教师: 20 年月日 安徽科技学院理学院

八路智力竞赛抢答器设计 一、课程设计题目(与实习目的) (一)、题目:八路智力竞赛抢答器设计 (二)、实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 二、任务和要求 实现抢答器的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成抢答器系统。 (1)抢答器设计要求 设计一个抢答器,基本要求: 1. 抢答器可以实现基本抢答;可同时供8名选手或8个代表队参加比赛,他们 的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与 选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 三、总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:该方案是将抢答按钮先直接与锁存器而不是优先编码器相连,将最先抢答的选手的编号锁定,再依次经过优先编码器、译码器和七段显示器,最后显示的是抢答选手的编号,经过优先编码器后的信号到单稳态触发器,单稳态触发器又与报警电路直接连接,所以显示编号的同时可以发出报警信号。另外由主持人控制开关和其他部分电路通过门电路实现对抢答电路、定时电路和报警部分电路的控制。 主体框图如下:

四路竞赛抢答器设计

四路竞赛抢答器设计与仿真。 一、设计题目:四路竞赛抢答设计与仿真 二、设计要求 设计并仿真能容纳四组参赛队参赛的声光显示抢答器,要求: 1)主持人按下复位后,允许开始抢答, 2)有人抢答成功,即发出光、声报警信号,并封锁其他参赛队抢答信号的输入 主要器件 1)74LS175、74LS04; 2)发光二极管、蜂鸣器、三极管等。

三、题目分析 为达到设计要求可以有两种方案可以选择 方案1:采用CD4511芯片作为抢答信号的触发、锁存和译码输出。这样虽然比较简便,但实际在实现锁存功能时比较繁琐难实现。 方案2:采用集成4D触发器来完成抢答部分。虽然元件较多,但在实现锁存功能时可以简单的实现。 经过对比两方案的优缺点,决定采用抢答信号锁存简单实现的方案2。然后利用软件Multisim来进行仿真调试,再进行逐步改进。智力竞赛是在竞赛中分成几组参加,我设计的为四组,这时针对主持人提出的问题,各组一般进行抢答,对于抢答,需要一种逻辑电路抢答器作为裁判员。先由主持人控制主电路,各组再进行抢答,优先抢答者抢到并回答问题解除抢答信号后,电路才恢复下一次抢答。 以下是我设计的智力竞赛抢答器的主要设计思路:主持人控制开关接地与四组开关接5V 电压,我集成4D触发器74LS175,起到优先抢答的作用。利用当其中一组抢答即对应的开关关闭,对应的锁存输入端为高电平,对应的输出端也为高电平,经过与门,实现锁存功能。这样,当一组抢答时其它组就被屏蔽了。举个例子来说,若一组得到抢答权,则由于接上电压该组输出高电压,又由于锁存器的锁存原因,其它二组,三组,四组输出的为低电平,与一组相连的发光二极管会发光,同时通过或非门与报警电路相连,使之发出连续响声。因为由两个或门与译码器连接,译码器是输入高电平有效,而第一组输出高电平时,对应的LED 亮,说明改组拥有回答问题的权利。当主持人的控制开关复位时,各组的抢答者就开始抢答,当主持人的控制开关清零复位时,开始下一轮抢答。 以上就是我设计的竞赛抢答器的设计思路。

8位竞赛抢答器课程设计

目录 1. 原理分析(by 张潇) (2) 1.1 设计任务 (2) 1.2 性能指标 (2) 1.3 工作原理 (2) 2. 方案选择(by 张潇) (3) 2.1 方案设定 (3) 2.2 方案比较 (3) 2.3 方案选择 (3) 3. 电路原理图绘制及仿真(by 王倩) (3) 3.1 所需元器件型号及数量 (3) 3.2 电路原理图 (4) 3.3 电路仿真结果 (5) 4. Pcb图绘制(by 朱文广) (5) 4.1 pcb绘制步骤 (5) 4.2 pcb绘制原则 (5) 4.3 8路抢答器pcb图 (7) 5. 综合调试(by 朱文广) (7) 5.1 软件调试 (7) 5.2 硬件调试 (9) 6. 总结(by 王倩) (10) 附录1:电路仿真图 (11) 附录2: 8路抢答器完整程序 (12)

1.1 设计任务 以单片机为核心,设计一个8位竞赛抢答器,同时供8名选手或8个代表队比赛。 设置一个系统清除和抢答控制开关S,开关由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。 抢答器具有定时抢答功能,且一次抢答的时间可由主持人设定。 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 1.2 性能指标 电源电压:直流5V±10% 选手组数:2-8组 初始抢答倒计时:20s 初始回答倒计时:30s 倒计时范围:1-99s可设 倒计时提示时间:最后5s 1.3 工作原理 八路数字抢答器原理框图如图1所示,其工作原理为:接通电源后,主持人未按下开始抢答,抢答器处于禁止状态,数码管显示“----”;主持人宣布“开始”同时按下开始抢答按键,抢答倒计时开始计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,回答倒计时开始计时、禁止二次抢答、数码管显示抢答选手编号以及回答剩余时间。如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。 图1 八路抢答器原理框图

智力抢答器实验报告

单片机课程设计 实 验 报 告 班级:通信工程13-2班 学号:2013211576 姓名:陈立 指导老师:王琼 2016年6月20日~2016年7月4日

合肥工业大学课程设计任务书

设计课题智力竞赛抢答器 一课题背景 随着科学技术的不断发展,促使人们学科学、学技术、学知识的手段多种多样。抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。目前大多数抢答器均使用单片机及外围接口实现,基于单片机的智力竞赛抢答器系统框图如下图所示。 抢答开关电路分别设定选手抢答键若干个、主持人开始抢答键和复位键,每位选手对应一个抢答指示灯。系统工作后,首先由主持人按下开始键,单片机进入30秒倒计时,选手们开始抢答,如果在30秒内无人抢答,则自动放弃,进入下一题;若有人抢答,则单片机自动检测最先抢答选手信息并做出处理,封锁输入电路,禁止其他选手抢答,使选手对应的抢答指示灯点亮,LED显示器上同步显示抢答选手的编号,同时扬声器发声提示,表示抢答成功。此后,单片机进入90秒回答问题倒计时,若选手在90秒内回答完问题并回答正确,主持人按加分键,LED显示器上显示选手的得分;若选手回答完问题超时或回答错误,则主持人按减分键,LED显示器上显示选手的得分。主持人按下复位键,系统返回到抢答状态,进行下一轮抢答。 在主持人按下开始抢答键前,有选手提前按下抢答键时,视为抢答犯规,系统红色报警灯点亮,LED显示器显示超前抢答报警信息,同时扬声器发声提示抢答犯规。当有几位选手同时按下抢答键时,由于在时间上必定存在先后,系统将

自动锁存最先按键选手信号。 二课题设计要求 (1)基本要求 本课题硬件部分要求画出系统模块连接图,在实验平台上设计组成智力竞赛抢答器系统,并在其上调试自己设计、编制的程序,直到正确、完善达到要求为止。在软件程序设计方面,要完成以下基本内容:设计编写系统各模块的软件程序并调试通过,画出各程序模块的流程图。实现数据(选手编号)锁存和显示、超前抢答报警、抢答时间和回答问题时间倒记时显示、扬声器发生提示等基本功能。 (2)附加要求 附加要求则是根据学生学习单片机掌握和运用的情况选作,这给同学们开动脑筋发挥自己的创造性思维留出了空间。系统还可以增加以下功能: ①支持人可根据题目难易程度设置抢答限时时间、答题限时时间,而不是采用固定的抢答限时时间和答题限时时间。抢答限时时间和回答问题的时间设定在1~99秒内,通过键盘进行加减。 ②抢答限时倒计时和答题限时倒计时在达到最后5秒时进行声光报警,提示选手抢答剩余时间答题剩余时间。扬声器每秒响一次,红色发光二极管闪烁点亮,频率为0.5Hz。 ③抢答倒计时到达0时,报警,并锁定抢答开关禁止选手抢答。 ④增加计分功能,当答题结束后,根据选手的答题情况给选手进行相应的加减分;查询功能,实现每位选手的分值查询。 本次课题设计完成以上全部基本要求和附加要求,符合单片机课程设计的基本要求,并在此基础上额外实现了按键时响铃提示功能,具体要求实现以及操作见报告后半部分。

单片机课程设计八位竞赛抢答器的设计

单片机原理及接口技术 课程设计 八位竞赛抢答器的设计 姓名: 学号: 指导教师: 院系(部所):机电工程学院 专业:机械设计制造及其自动化 完成日期:2012年12月20日

摘要 随着单子技术的飞速发展,基于单片机的控制系统已广泛应用与工业、农业、电力、电子、智能楼宇等行业,微型计算机作为嵌入式控制系统的主体与核心,代替了传统的控制系统的常规电子线路。本设计是以八路抢答为基本理念。考虑到需设定限时回答的功能呢个,利用AT89C51单片机及外围接口实现的抢答系统,利用单片机的定时器/计数器定时和记数的原理,将软、硬件有机地结合起来,使得系统能够正确地进行计时,同时使数码管能够正确地显示时间和抢答的号码。用开关做键盘输出,扬声器发生提示,并且有警告灯显示,正常工作时为绿灯,报警或抢答等违规信号时则出现红灯。 关键词:AT89C51;抢答器;计数器

目录 1概述 (1) 2 抢答器的硬件系统设计 (3) 2.1 系统整体方案设计 (3) 2.2 系统硬件组成 (3) 3 最小系统与主控模块的设计与实现 (5) 3.1 单片机最小硬件系统的组成简述 (5) 3.1.1 电源电路 (5) 3.1.2 时钟电路 (6) 3.1.3 复位电路 (7) 3.2 主流程图 (8) 4 模块的设计与实现 (9) 4.1 抢答电路的设计 (9) 4.2 锁存器74HC573 (9) 4.3 主持人控制电路与扬声器的设计...................... 错误!未定义书签。 4.4 显示电路的设计.................................... 错误!未定义书签。 5 软件的设计 (12) 5.1语言选择 (12) 5.2软件总体设计 (12) 总结 (13) 参考文献 (15) 致谢 (16) 附录 (17)

多路智力竞赛抢答器设计实验报告材料

课程设计报告

多路智力竞赛抢答器设计 目录 一前言 (1) 1设计内容及要求 (1) 2实验内容及方法 (2) 3工作过程简介 (2) 二、正文 (4) 1系统概述 (4) 2单元电路设计方案和原理说明 (4) 抢答器电路设计 (4) 定时电路设计 (6) 3电路的安装与调试 (8) 4心得与体会 (9) 三、元器件明细表 (10) 四、参考文献 (10)

前言 一、设计内容及要求: 设计内容:本课题要求设计一台可供8名选手参加比赛的智力竞赛抢答器。 设计要求: 1.基本功能 (1)抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0 ~ S7表示。 (2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。用来控制系统清零(编号显示数码管灭灯)和抢答的开始。 (3)抢答器具有锁存与显示功能。即抢答开始后,选手按动按钮,锁存相应的编号,并在编号显示器上显示该编号。同时封锁输入编码电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清除为止。 2.扩展功能 (1)抢答器具有定时抢答功能。要求定时器开始倒计时,并用定时显示器显示倒计时时间。 (2)参赛选手在设定时间(30秒)内抢答,抢答有效,同时定时器停止倒计时,编号显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (3)如果定时抢答时间已到,却没有选手抢答时,本次抢答无效,系统封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。

二、实验内容及方法 1.组装调试抢答器电路。 2.设计可预置时间的定时电路,并进行组装和调试。当输人1Hz的时钟脉冲信号时,要求电路能进行减计时,当减计时到零时,能输出低电平有效的定时时间到信号。 3.完成定时抢答器的联调,注意各部分电路之间的时序配合关系。然后检查电路各部分的功能,使其满足设计要求。 三,工作过程简介 定时抢答器的总体框图(如图1.1)所示,它由主体电路和扩展电路两部分组成。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答按钮时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成定时抢答的功能。

相关文档
相关文档 最新文档