文档库 最新最全的文档下载
当前位置:文档库 › 基于MATLAB的时序逻辑电路设计与仿真上课讲义

基于MATLAB的时序逻辑电路设计与仿真上课讲义

基于MATLAB的时序逻辑电路设计与仿真上课讲义
基于MATLAB的时序逻辑电路设计与仿真上课讲义

基于M A T L A B的时序逻辑电路设计与仿真

课程设计任务书

学生姓名:田鑫专业班级:电子科学与技术 0703 班

指导教师:钟毅工作单位:信息工程学院

题目:

基于MATLAB的时序逻辑电路设计与仿真

初始条件:

MATLAB 软件微机

要求完成的主要任务:

深入研究和掌握数字电路中时序逻辑电路的理论知识。利用MATLAB强大的图形处理功能、符号运算功能和数值计算功能,实现时序逻辑电路的设计和仿真。

一、以寄存器为例仿真下列波形

并行寄存器输出波形(以基本RS触发器构造);

移位寄存器输出波形(用D触发器构造)

二、以双向移位寄存器为例实现子系统的设计和封装并仿真下列波形

4位双向移位寄存器并行输出波形;

4位双向移位寄存器串行右移输出波形;

4位双向移位寄存器串行左移输出波形

三、以扭环计数器为例仿真下列波形

扭环计数器的输出波形(以JK触发器实现)

时间安排:

学习MATLAB语言的概况第1天

学习MATLAB语言的基本知识第2、3天

学习MATLAB语言的应用环境,调试命令,绘图能力第4、5天

课程设计第6-9天

答辩第10天

指导教师签名:

年月日

系主任(或责任教师)签名:年月日

目录

摘要 (4)

Abstract (4)

绪论 (1)

1M A T L A B简介 (2)

1.1 MATLAB程序设计 (2)

1.2M A T L A B的特点 (2)

1.3MATLAB程序设计 (2)

1.4 M文件 (2)

1.5 SIMULINK仿真设计 (3)

1.5.1创建和使用模型 (3)

1.5.2选择和定制模块 (3)

1.5.3建立和编辑模型 (4)

1.5.4配置子系统 (4)

1.5.5条件执行子系统 (4)

2时序逻辑电路设计 (5)

2.1锁存器和触发器 (5)

2.1.1双稳态 (5)

2.1.2 锁存器 (5)

2.1.3 触发器 (5)

2.2时序逻辑电路设计 (7)

2.2.1移位寄存器 (7)

2.2.2扭环计数器 (9)

3基于M A T L A B的组合逻辑电路设计 (12)

3.1以寄存器仿真波形 (12)

3.1.1并寄存器的设计 (12)

3.1.2移位寄存器的设计 (15)

3.2以双向移位寄存器实现子系统的设计和封装仿真波形 (17)

3.3以扭环计数器为例仿真下列波形 (24)

4收获、体会与建议 (26)

5致谢 (27)

6参考文献 (28)

摘要

MATLAB是当今最优秀的科技应用软件之一,具有强大的科学计算与可视化功能、简单易用、开放式可扩展环境。本文介绍了时序逻辑电路的MATLAB设计和仿真,在这种电路中,任意时刻的输出信号不但取决于当时的输入信号,还取决于电路当时的状态,或者说,还与以前的输入有关。具备这种逻辑功能特点的电路叫做时序逻辑电路。时序逻辑电路中需要将某一时刻的电路状态进行存储,利用触发器组成寄存器和计数器。在时序逻辑电路的仿真的过程中,将使用到SIMULINK中的触发器模块。同时也介绍了仿真中的子系统的设计和封装,进一步介绍MATLAB强大的数字处理功能。

关键词: 触发器,寄存器,计数器,仿真,封装

Abstract

Today is the most outstanding of MATLAB software technology application, strong scientific computing and visual function, easy-to-use, open extensible environment. The paper introduces the hardwave circuit design and simulation of MATLAB, in this circuit, arbitrary moment not only depend on the output signal was still depends on the input signal, and then the state, or circuit, and the relevant input before. Have this kind of logic function characteristics of the circuit is called the hardwave circuit. The hardwave circuit in a moment to store, the circuit state USES triggers composition registers and counter. In the hardwave circuit simulation process, will use the trigger module to SIMULINK. Also introduces the design and simulation of MATLAB, further introduced digital processing function of.

Keywords: flip-flop, register, counter, simulation, encapsulation

绪论

MATLAB和Mathematica、Maple并称为三大数学软件。它在数学类科技应用软件中在数值计算方面首屈一指。MATLAB可以进行矩阵运算、绘制函数和数据、实现算法、创建用户界面、连接其他编程语言的程序等,主要应用于工程计算、控制设计、信号处理与通讯、图像处理、信号检测、金融建模设计与分析等领域。

MATLAB的基本数据单位是矩阵,它的指令表达式与数学、工程中常用的形式十分相似,故用MATLAB来解算问题要比用C,FORTRAN等语言完成相同的事情简捷得多,并且mathwork也吸收了像Maple等软件的优点,使MATLAB成为一个强大的数学软件。在新的版本中也加入了对C,FORTRAN,C++ ,JAVA的支持。可以直接调用,用户也可以将自己编写的实用程序导入到MATLAB函数库中方便自己以后调用,此外许多的MATLAB爱好者都编写了一些经典的程序,用户可以直接进行下载就可以用。

1 MATLAB简介

1.1 MATLAB

MATLAB是矩阵实验室(Matrix Laboratory)的简称,是美国MathWorks公司出品的商业数学软件,用于算法开发、数据可视化、数据分析以及数值计算的高级技术计算语言和交互式环境,主要包括MATLAB和Simulink两大部分。

MATLAB 的应用范围非常广,包括信号和图像处理、通讯、控制系统设计、测试和测量、财务建模和分析以及计算生物学等众多应用领域。附加的工具箱(单独提供的专用MATLAB 函数集)扩展了 MATLAB 环境,以解决这些应用领域内特定类型的问题。

1.2 MATLAB的特点

MATLAB是当今最优秀的科技应用软件之一,它以强大的科学计算与可视化功能、简单易用、开放式可扩展环境,特别是所附带的30多种面向不同领域的工具箱支持,使得它在许多科学领域中成为计算机辅助设计和分析、算法研究和应用开发的基本工具和首选平台。MATLAB具有其他高级语言难以比拟的一些优点,编写简单,编程效率高,易学易懂,因此MATLAB语言也被通俗地称为演算纸式的科学算法语言。在控制、通信、信号处理及科学计算等领域中,MATLAB都被广泛地应用,已经被认可为能够有效提高工作效率、改善设计手段的工具软件,掌握了MATLAB就好比掌握了开启这些专业领域大门的钥匙。

1.3 MATLAB的程序设计

(1)行命令方式

行命令方式是在命令窗中写程序,每个程序只能是一行,因为计算机每次只能对一行命令做出反应,就像计算器那样工作。行命令方式适合于简单的语句编写。

(2)程序文本方式

程序文本方式也叫程序文件模式,它是把多行语句写成一个程序,保存在一个文件名下,让计算机来执行这个文件。程序文本方式的语句编写和修改是在文本编辑器中进行,它适合于复杂的语句编写

1.4 M文件

m文件的语法类似于c语言,但又有其自身特点。它只是一个简单的ASCII码文本文件,执行程序时逐行解释运行程序,matlab是解释性的编程语言。

m文件有两类:独立的m文件—称命令文件;可调用m文件—称函数文件。程序文本方式分成脚本文件和函数文件。

1.5 SIMULINK仿真设计

Simulink是MATLAB最重要的组件之一,它提供一个动态系统建模、仿真和综合分析的集成环境。在该环境中,无需大量书写程序,而只需要通过简单直观的鼠标操作,就可构造出复杂的系统。Simulink具有适应面广、结构和流程清晰及仿真精细、贴近实际、效率高、灵活等优点,并基于以上优点Simulink已被广泛应用于控制理论和数字信号处理的复杂仿真和设计。同时有大量的第三方软件和硬件可应用于或被要求应用于Simulink。

1.5.1创建和使用模型

通过Simulink可使用大量的预定义模块快速地推导、建模和维护系统详细的模块图。Simulink提供层次化建模、数据管理、定制子系统工具,无论工程师的系统有多复杂,都可以轻松完成简明精确的模型描述.

1.5.2选择和定制模块

Simulink包含广泛的用于对系统建模的模块库。这些库包括:

? a. 连续和离散动态模块,如Integration和Unit Delay

? b. 算法模块,如Sum, Product, Lookup Table

? c. 信号结构模块,如 Mux, Switch, Bus Selector

可定制这些内联的模块或直接在Simulink中创建新的模块然后将其放置到自己的库中.额外的模块库扩展了Simulink的特殊应用功能,如对航空航天、通讯、无线电频率、信号处理、视频和图像处理和其他领域的应用.

启动Simulink时可以在工具栏点击;同样也可以在MATLAB命令窗口中输入Simulink,结果是在桌面上出现一个称为Simulink Library Browser的窗口,在这个窗口中列出了按功能分类的各种模块的名称;同样也可以通过单击MATLAB主窗口菜单选择

File→New→Model,弹出一个Untitled的Simulink模型窗口,再选择View →Show Library Browser,弹出Simulink Library Brower模块库窗口。图1.1所示为Simulink Library Brower 窗口。

图1.1 Simulink Library Brower窗口

1.5.3建立和编辑模型

Simulink在使用时,从Library Browser中拖放模块到图形编辑器、然后用线连接模块来建立模块之间的数学关系,从而完成模型的建立,同时可以通过使用图形编辑功能来对模型布局,如拷贝、粘贴、撤销、对齐、分布和改变尺寸等.

Simulink user interface使得在屏幕上的所见所用都可以得到全面控制。用户可以添加指令和子菜单到编辑器和文件菜单中,也可以对菜单、菜单项和对话框进行禁用和隐藏等控制.

1.5.4配置子系统

Simulink中的配置子系统可以在一个模型中将子系统与设计变量相关联,该功能通过共享部件设计方式简化了设计过程和管理,因为一个模型可以代表一类设计.

1.5.5条件执行子系统

条件执行子系统可以通过控制逻辑信号的使能或非使能来改变系统的动态行为。在Simulink中用户可以根据特定的时间或事件来创建控制信号,使得子系统以使能或触发的模式来执行.同时,Simulink中提供了逻辑模块,可用于对简单的控制指令来建模,从而控制使能或触发子系统。

2 时序逻辑电路设计

时序逻辑电路在任一时刻的输出信号不仅与当时的输入信号有关,并且与原来状态有关。也就是说,时序电路中具有逻辑运算功能的组合电路外,还必须有能够记忆电路状态的存储单元或延迟单元,这些存储或延迟逻辑单元主要由锁存器或触发器来实现。

时序电路是状态以来的,成为状态机,时序逻辑电路具有以下特征:时序逻辑电路由组合电路和存储电路组成;时序逻辑电路的状态与时间因素相关,即时序电路在任一时刻的状态变量不仅是当前的输入信号的函数,而且还是电路以前状态的函数,时序电路的输出信号由输入信号和电路的状态共同决定。

2.1 锁存器和触发器

2.1.1 双稳态

双稳态电路一般有一个输出端和两个输入端(“+”、“-”端各一个),当输入端的“+”

端有触发信号时,输出端不管原来是什么状态,都会立即变为高电平,且一直稳定地输出

高电平。如果当输入端的“-”端有触发信号时,输出端不管原来是什么状态,都会立即变为低电平,且一直稳定地输出低电平。

2.1.2 锁存器

锁存器是一种对脉冲电平敏感的存储单元电路,它们可以在特定输入脉冲电平作用下改变状态。输出端的状态不会随输入端的状态变化而变化,只有在有锁存信号时输入

的状态被保存到输出,直到下一个锁存信号。通常只有0和1两个值。图2.1为RS基本锁存器,表2.1为其真值表。

表2.1 SR基本锁存器真值表

S R 状态

0 0 维持

0 1 Q=0

1 0 Q=1

1 1 Q=!Q=0

图2.1 基本SR锁存器

2.1.3 触发器

触发器(Flip Flop)是一种可以存储电路状态的电子元件。最简单的是由两个或非门,两个输入端和两个输出端组成的RS触发器(见图)。复杂一些的有带时钟(CLK)段和D(Data)端,在CLK端为高电平时跟随D端状态,而在CLK端变为低电平的瞬间锁存信号的D触发器。更常用的是两个简单D触发器级联而成的在时钟下跳沿所存信号的边缘D触发器,广泛应用于计数器、运算器、存储器等电子部件。常见的还有JK触发器和T触发器。

1.S R触发器

SR触发器基本表示及状态图和真值表见下。

图2.2 SR 触发器逻辑符号 图2.3 SR 触发器状态图

表2.2 SR 触发器真值表

S R CP Q n Q n+1 0 0 ↑ 0 0 0 0 ↑ 1 1 0 1 ↑ 0 0 0 1 ↑ 1 0 1 0 ↑ 0 1 1

1

1

)(01约束条件=+=+SR Q R S Q n

n ,这是SR 触发器满足的基本条件。 2. D 触发器

D 触发器基本表示及状态图和真值表见下。其中D Q n =+1。

图2.4 D 触发器逻辑符号 图2.5 D 触发器状态图

表2.3 D 触发器真值表

D Q n Q n+1 0 0 0 0 1 0 1 0 1 1

1

1

3. JK 触发器

JK 触发器基本表示及状态图和真值表见下。n n n Q K Q J Q +=+1

图2.6 JK触发器逻辑符号图2.7 JK触发器状态图

表2.4 JK触发器真值表

J K CP Q n Q n+1

0 0 ↑0 0

0 0 ↑ 1 1

0 1 ↑0 0

0 1 ↑ 1 0

1 0 ↑0 1

1 0 ↑ 1 1

1 1 ↑0 1

1 1 ↑ 1 0

2.2 时序逻辑电路设计

2.2.1 移位寄存器

移位寄存器:不但可以寄存数码,在移位脉冲作用下,寄存器中的数码还可根据需要向左或向右移动。

1.基本(单向)移位寄存器

(1)右移寄存器(D触发器组成的4位右移寄存器)

结构特点:左边触发器的输出端接右邻触发器的输入端。

Q

R

C1

1D

1D

C1

R

Q1D

C1

R

Q1D Q

R

C1

Q

Q Q Q

3

CP

CR

I

D

串行输入串行输出D

0D1D2

FF

1

FF

2

FF

3

FF

并 行 输 出

D

3

图2.8 右移寄存器逻辑图

图2.9 右移寄存器状态图

2. 双向移位寄存器

将右移寄存器和左移寄存器组合起来,并引入一控制端S便构成既可左移又可右移的双向移位寄存器。

R

FF

1D

C1

3

Q

&

≥1

R

1D

C1

2

FF

Q

&

≥1

R

1D

C1

1

FF

Q

&

≥1

FF

&

∧C1

R

1D

Q

≥1

1

1

1

Q

Q

13

02

CR

串行输入

SL

D

(左移)串行输入

D

SR

(右移)

串行输出

D

OR

(右移)串行输出

D

OL

(左移)

移位控制

S=1:右移

S=0:左移

并 行 输 出

图2.10 双向移位寄存器逻辑图

2.2.2 扭环计数器

扭环计数器可以进一步提高电路状态的利用率,图2.11所示扭环计数器,有效循环中的状态数提高至8个,但电路仍无法自启动。

图2.11 基本扭环计数器

图2.12是可以自启动的扭环计数器,电路工作原理如下。

图2.12 改进型扭环计数器

(1)写方程式。

将驱动方程代入D 触发器的特性方程D Q =+1n ,得到扭环计数器的状态方程。

(2)列状态转换真值表,画出状态转换图。

CP

CP

设扭环计数器现态n

0n 1n 2n 3Q Q Q Q =0000,代入状态方程进行推导,得表2.5所示的状态转

换真值表。

根据状态转换真值表,画出状态转换图,见图2.13。

图2.13 改进型扭环计数器状态转换图

(3)检查电路自启动能力。 经检查,该电路能够自启动。 (4)画出电路时序图,见图2.14。

1

35CP Q 0Q 1Q 27

Q 3

精品资料

仅供学习与交流,如有侵权请联系网站删除 谢谢12

图2.14 改进型扭环计数器时序图

(5)电路逻辑功能说明。

由以上分析,4位扭环计数器有效循环有8种状态,可计8个数。

扭环计数器的优点是每次状态变化只有一个触发器翻转,译码器不存在竞争冒险现象,电路比较简单。缺点是电路状态利用率仍然不高。

3 基于MATLAB 的组合逻辑电路设计

3.1以寄存器仿真波形

3.1.1并寄存器的设计

这个设计中使用基本RS 触发器构造一个2为并行寄存器。所谓并行寄存器就是能够同时存储几个数据源数据的寄存器。

(1) RS 触发器功能介绍

SIMULINK 中提供了基本RS 触发器模块。首先运行MATLAB 中运行Simulink,然后新建一个模型,将RS 触发器的模块添加到模型中,RS 触发器位置是:

Simulink Extras--Flip Flops--S-R Flip-Flop.如图3.1所示。

然后在这个模块上右击鼠标,单击弹出菜单中的“Look Under Mask ”(查看下Q 3Q 2Q 1Q 00000011011011011011111101111100101000010101000111000110000010101

引言 人类社会进步,各种仪器测试设备的以电子设备代替成为趋势,各类测试仪器都希望通过电子设备来实现。电子设备在实现相应参数的测量时,具有简单容易操作,而且数据便于计算机处理等优点。目前科技的飞速进展与集成电路的发展应用,有密不可分的关系。十九世纪工业革命主要以机器节省人力,二十世纪的工业的革命则主要以电脑为人脑分劳。而电脑的发展归于集成电路工业。 集成电路是将各种电路器件集成于半导体表面而形成的电路。近年来集成电路几乎成为所有电子产品的心脏。由于集成电路微小化的趋向,使电子产品得以“轻、薄、短、小”。故集成电路工业又称微电子工业。差不多在同时数字计算机的发展提供了应用晶体管的庞大潜在市场。 20世纪90年代以后,电子科学和技术取得了飞速的发展,其标志就是电子计算机的普及和大规模集成电路的广泛应用。在这种情况下,传统的关于数字电路的内容也随之起了很大的变化,在数字电路领域EDA工具已经相当成熟,无论是电路内容结构设计还是电路系统设计,以前的手工设计都被计算机辅助设计或自动设计所取代。 通过长期的学习微电子专业理论知识,我们应该多动手实践把理论知识与实践相结合,加强对理论知识的把握。本文是十进制同步计数器的设计,对十进制同步计数器的设计进行电路原理图设计以及仿真,版图设计,版图验证。 1 设计技术要求 (1)项目名称:十进制同步计数器的设计 (2)使用工艺:2.0um硅栅工艺(tanner)或者1.0um硅栅工艺(cadence) (3)供电电源:5V (4)输入要求:异步清除,CMOS电平 (5)进行原理图设计,并完成电路的仿真 (6)版图设计,完成LVS一致性检验,生成相应的GDSII文档 2 设计构思及理论 2.1 设计思路 十进制同步计数器的设计可以细化成下列步骤: ①建立最简原始状态图。 ②确定触发器级数,进行状态编码。 ③用状态装换卡诺图化简,求状态方程和输出方程。 ④查自启动特性。 ⑤确定触发类型,求驱动方程。 ⑥画逻辑图。

东南大学电工电子实验中心 实验报告 课程名称:数字逻辑电路设计实践 第 4 次实验 实验名称:基本时序逻辑电路 院(系):信息科学与工程学院专业:信息工程姓名:学号: 实验室: 实验组别: 同组人员:无实验时间: 评定成绩:审阅教师:

时序逻辑电路 一、实验目的 1.掌握时序逻辑电路的一般设计过程; 2.掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求; 3.掌握时序逻辑电路的基本调试方法; 4.熟练使用示波器和逻辑分析仪观察波形图,并会使用逻辑分析仪做状态分析。 二、实验原理 1.时序逻辑电路的特点(与组合电路的区别): ——具有记忆功能,任一时刻的输出信号不仅取决于当时的输出信号,而且还取决于电路原来的值,或者说还与以前的输入有关。 2.时序逻辑电路的基本单元——触发器(本实验中只用到D触发器) 触发器实现状态机(流水灯中用到) 3.时序电路中的时钟 1)同步和异步(一般都是同步,但实现一些任意模的计数器时要异步控制时钟端) 2)时钟产生电路(电容的充放电):在内容3中的32768Hz的方波信号需要自己通过 电路产生,就是用到此原理。 4.常用时序功能块 1)计数器(74161) a)任意进制的同步计数器:异步清零;同步置零;同步置数;级联 b)序列发生器 ——通过与组合逻辑电路配合实现(计数器不必考虑自启动) 2)移位寄存器(74194) a)计数器(一定注意能否自启动) b)序列发生器(还是要注意分析能否自启动) 三、实验内容 1.广告流水灯 a.实验要求 用触发器、组合函数器件和门电路设计一个广告流水灯,该流水等由8个LED组成,工作时始终为1暗7亮,且这一个暗灯循环右移。 ①写出设计过程,画出设计的逻辑电路图,按图搭接电路。 ②将单脉冲加到系统时钟端,静态验证实验电路。 ③将TTL连续脉冲信号加到系统时钟端,用示波器和逻辑分析仪观察并记录时钟脉冲 CLK、触发器的输出端Q2、Q1、Q0和8个LED上的波形。 b.实验数据 ①设计电路。 1)问题分析 流水灯的1暗7亮对应8个状态,故可采用3个触发器实现;而且题目要求输出8个信号控制8个灯的亮暗,故可以把3个触发器的输出加到3-8译码器的控制端,对应的8个译码器输出端信号控制8个灯的亮暗。

第五章 同步时序逻辑电路的习题 一、基本知识点 1、时序逻辑电路的一般结构 特点:a 、有存储电路(记忆元件);有组合电路(特殊时可没有) b 、包含反馈电路,电路功能与“时序”相关 c 、输出不仅与输入(X )有关,而且与存储状态(Y )有关 分类:(1)Mealy 型 Z =F (X ,Q ) 输出是电路的输入和现态的函数(注意输出与输入有直接关系) (2)Moore 型 Z =F (Q ) 输出仅仅是电路现态的函数(注意输出与输入没有直接关系) 同步时序逻辑电路:各触发器共用同一时钟信号,即电路中各触发器状态的转换时刻在统一时钟信号控制下同步发生。 异步时序逻辑电路:电路没有统一的时钟信号对状态变化进行同步控制,输入信号的变化将直接引起电路状态的变化。 //本课程将较少讨论异步时序逻辑电路 2、同步时序逻辑电路的描述 注意:任一个同步时序逻辑电路的结构和功能可用3组函数表达式完整地描述。 (1)激励函数表达式:存储电路输入Y 与电路输入X 和现态Q 之间的关系 Y =F (X ,Q ) //现态Q 就是上图存储电路原始的输出y k (2)次态函数表达式:电路的次态Q n+1与激励函数Y 和现态Q 之间关系 Q n+1=F (Y ,Q ) //次态Q n+1就是上图存储电路再次触发后的输出y k n+1 (3)输出函数表达式:电路的输出Z 和输入X 和当前现态Q 的关系 Mealy 型 Z =F (X ,Q ) Moore 型 Z =F (Q ) 输入信号 输出信号 X 1 X 2 X n Z 1 Z 2 Z m y s 过去输入 现态 现在输入 } 输出 输出 所有输入 现态

同步时序电路的设计步骤 同步时序电路的设计步骤 同步时序电路的分析是根据给定的时序逻辑电路,求出能反映该电路功能的状态图。状态图清楚地表明了电路在不同的输入、输出原状态时,在时钟作用下次态状态的变化情况。同步时序电路的设计的设计是分析的反过程,其是根据给定的状态图或通过对设计要求的分析得到的状态图,设计出同步时序电路的过程。 这里主要讨论给定状态图的情况下的同步时序电路的设计,对于具体的要求得到状态图的过程一般是一个较复杂的问题,这是暂不讲。根据已知状态图设计同步时序电路的过程一般分为以下几步: 1.确定触发器的个数。首先根据状态的个数来确定所需要触发器的个数,如给定的状态个数为n,由应满足 n≤2K,K为实现这来状态所需要的触发器的个数。(实际使用时可能给定的状态中存在冗余项,这时一般还须对状态进行化简。) 2.列出状态转移真值表。根据状态列出状态转移真值表,也称状态表、状态转移表。 3.触发器选型。选择合适的触发器,通常可选的触发器有:JK-FF,D-FF,T-FF,一般使用较广的为JK-FF。根据状态图和给出的触发器的型号写出其输入方程,通常在写输入方程时须对其进行化简,以使电路更简单。 4.求出输出方程。根据状态表,求出输出逻辑函数Z的输出方程,还过有些电路没有独立的输出,这一步就省了。 5.画出逻辑图。根据输入方程、输出方程画出逻辑电路图。 6.讨论设计的电路能否自启动。在设计的电路中可能出现一些无关的状态,这些状态能否经过若干个时钟脉冲后进行有效的状态。 同步时序电路设计举例 例按下图状态图设计同步时序电路。 1.根据状态数确定触发器的数目:由状态图可以看出,其每个状态由两个状态,故可用两个触发器。其变量可 用Q 1,Q 表示; 2.根据状态图列出状态表:状态表的自变量为输入变量x和触发器当前状态Q 1 n,Q n,而应变量为触发器的次态 Q 1n+1Q n+1、及输出z,列表时将自变量的所有组合全部列出来,其中当Q 1 n Q n=01的状态为不出现,其输出可看作任意 项处理。

第5章时序逻辑电路 5.1 时序逻辑电路的基本概念 1.时序逻辑电路的结构及特点 时序逻辑电路在任何时刻的输出状态不仅取决于当时的输入信号,还与电路的原状态有关,触发器就是最简单的时序逻辑电路,时序逻辑电路中必须含有存储电路。时序电路的基本结构如图 5.1 所示,它由组合电路和存储电路两部分组成。 图5.1 时序逻辑电路框图 时序逻辑电路具有以下特点: (1)时序逻辑电路通常包含组合电路和存储电路两个组成部分,而存储电路要记忆给定时刻前的输入输出信号,是必不可少的。 (2)时序逻辑电路中存在反馈,存储电路的输出状态必须反馈到组合电路的输入端,与输入信号一起,共同决定组合逻辑电路的输出。 2.时序逻辑电路的分类 (1)按时钟输入方式 时序电路按照时钟输入方式分为同步时序电路和异步时序电路两大类。同步时序电路中,各触发器受同一时钟控制,其状态转换与所加的时钟脉冲信号都是同步的;异步时序电路中,各触发器的时钟不同,电路状态的转换有先有后。同步时序电路较复杂,其速度高于异步时序电路。 (2)按输出信号的特点 根据输出信号的特点可将时序电路分为米里(Mealy)型和摩尔(Moore)型两类。米里型电路的外部输出Z既与触发器的状态Q n有关,又与外部输入X有

关。而摩尔型电路的外部输出Z仅与触发器的状态Q n有关,而与外部输入X无关。 (3)按逻辑功能 时序逻辑电路按逻辑功能可划分为寄存器、锁存器、移位寄存器、计数器和节拍发生器等。 3.时序逻辑电路的逻辑功能描述方法 描述一个时序电路的逻辑功能可以采用逻辑方程组(驱动方程、输出方程、状态方程)、状态表、状态图、时序图等方法。这些方法可以相互转换,而且都是分析和设计时序电路的基本工具。 5.2 时序逻辑电路的分析方法和设计方法 1.时序逻辑电路的分析步骤 (1)首先确定是同步还是异步。若是异步,须写出各触发器的时钟方程。(2)写驱动方程。 (3)写状态方程(或次态方程)。 (4)写输出方程。若电路由外部输出,要写出这些输出的逻辑表达式,即输出方程。 (5)列状态表 (6)画状态图和时序图。 (7)检查电路能否自启动并说明其逻辑功能。 5.2.1 同步时序逻辑电路的设计方法 1.同步时序逻辑电路的设计步骤 设计同步时序电路的一般过程如图5.10所示。 图5.10 同步时序电路的设计过程

实验十Moore型同步时序逻辑电路的分析与设计 一.实验目的: 1.同步时序逻辑电路的分析与设计方法 2.掌握时序逻辑电路的测试方法。 二.实验原理: 1.Moore同步时序逻辑电路的分析方法: 时序逻辑电路的分析,按照电路图(逻辑图),选择芯片,根据芯片管脚,在逻辑图上标明管脚号;搭接电路后,根据电路要求输入时钟信号(单脉冲信号或连续脉冲信号),求出电路的状态转换图或时序图(工作波形),从中分析出电路的功能。 2.Moore同步时序逻辑电路的设计方法: (1)分析题意,求出状态转换图。 (2)状态分析化简:确定等价状态,电路中的等价状态可合并为一个状态。(3)重新确定电路状态数N,求出触发器数n,触发器数按下列公式求:2n-1

(7)利用卡诺图如图2,求状态方程、驱动方程。 (8)自启动检验:将各无效状态代入状态方程,分析状态转换情况,画出完整的 状态转换图,如图3所示,检查是否能自启动。

实验三时序逻辑电路 学习目标: 1、掌握时序逻辑电路的一般设计过程 2、掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求 3、掌握时序逻辑电路的基本调试方法 4、熟练使用示波器和逻辑分析仪观察波形图 实验内容: 1、广告流水灯(第9 周课内验收)用触发器、组合函数器件和门电路设计一个广告流水灯,该流水灯由8 个LED 组成,工作时始终为1 暗7 亮,且这一个暗灯循环右移。 (1) 写出设计过程,画出设计的逻辑电路图,按图搭接电路 (2) 将单脉冲加到系统时钟端,静态验证实验电路 (3) 将TTL 连续脉冲信号加到系统时钟端,用示波器观察并记录时钟脉冲CP、触发器的输出端Q2、Q1、Q0 和8 个LED 上的波形。 2、序列发生器(第10 周课内实物验收计数器方案)分别用MSI 计数器和移位寄存器设计一个具有自启动功能的01011 序列信号发生器 (1) 写出设计过程,画出电路逻辑图 (2) 搭接电路,并用单脉冲静态验证实验结果 (3) 加入TTL 连续脉冲,用示波器观察观察并记录时钟脉冲CLK、序列输出端的波形。 3、4 位并行输入-串行输出曼切斯特编码电路(第10周课内验收,基础要求占70%,扩展要求占30%) 在电信与数据存储中, 曼彻斯特编码(Manchester coding),又称自同步码、相位编码(phase encoding,PE),它能够用信号的变化来保持发送设备和接收设备之间的同步,在以太网中,被物理层使用来编码一个同步位流的时钟和数据。曼彻斯特编码用电压的变化来分辨0 和1,从高电平到低电平的跳变代表0,而从低电平到高电平的跳变代表1。信号的保持不会超过一个比特位的时间间隔。即使是0 或1 的序列,信号也将在每个时间间隔的中间发生跳变。这种跳变将允许接收设备的时钟与发送设备的时钟保持一致,图3.1 为曼切斯特编码的例子。 设计一个电路,它能自动加载4 位并行数据,并将这4位数据逐个串行输出(高位在前),每个串行输出位都被编码成曼切斯特码,当4 位数据全部传输完成后,重新加载新数据,继续传输,如图3.2 所示。

“电工学(二)数字逻辑电路”课程实验报告 实验/实训项目同步时序逻辑电路分析与设计 实验/实训地点 实验/实训小组 实验/实训时间 专业电器工程及其自动化 班级 姓名 学号 指导老师

过程、步骤、代一、实验原理 1. 集成计数器74LS290功能测试。 74LS290是二一五一十进制异步计数器,逻辑简图为图5.1所示。 74LS290具有下述功能: 直接置0(R 0(1),R 0(2)=1),直接置(S 0(1),S 0(2)=1) 二进制计数(CP 1输入Q A 输出) 五进制计数(CP 1输入Q A Q B Q C 输出) 十进制计数(两种接法如图5.2A 、B 所示) 按芯片引脚图分别测试上述功能,并填入表5.1、表5.2、表5.3中。 图5.1 74LS290逻辑图

图5.2 十进制计数器 2. 计数器级连 分别用2片74LS290计数器级连成二一五混合进制、十进制计数器。 (1)画出连线电路图。 (2)按图接线,并将输出端接到LED 数码显示器的相应输入端,用单脉冲作为输入脉冲验证设计是否正确。 (3)画出四位十进制计数器连接图并总结多级计数级连规律。 3. 任意进制计数器设计方法 采用脉冲反馈法(称复位法或置位法),可用74LS290组成任意(M )计数器,图5.3是用74LS290实现模7计数器的两种方案,图(A )采用复位法,即计到M 异步置0,图(B )采用置位法,即计数计到M-1异步置0。 表5.1 功能表 R 0(1) R 0(2) S 0(1) S 0(2) 输出 Q D Q G Q B Q A H H L X H H X L X X H H X L X L L X X L X L L X 表5.2 二一五混合时制 计数 输出 Q A Q D Q G Q B 0 1 2 3 4 5 6 7 8 9

实验九Moore型同步时序逻辑电路的分析与设计 22920132203686 薛清文周2下午实验 一.实验目的: 1.同步时序逻辑电路的分析与设计方法 2.D,JK触发器的特性机器检测方法。 2.掌握时序逻辑电路的测试方法。 3.了解时序电路自启动设计方法。 4.了解同步时序电路状态编码对电路优化作用。 二.实验原理: 二、 1.Moore同步时序逻辑电路的分析方法: 时序逻辑电路的分析,按照电路图(逻辑图),选择芯片,根据芯片管脚,在逻辑图上标明管脚号;搭接电路后,根据电路要求输入时钟信号(单脉冲信号或连续脉冲信号),求出电路的状态转换图或时序图(工作波形),从中分析出电路的功能。 2.Moore同步时序逻辑电路的设计方法: (1)分析题意,求出状态转换图。 (2)状态分析化简:确定等价状态,电路中的等价状态可合并为一个状态。(3)重新确定电路状态数N,求出触发器数n,触发器数按下列公式求:2n-1

自我测验题 1.图T4.1所示为由或非门构成的基本SR锁存器,输入S、R的约束条件是。A.SR=0B.SR=1C.S+R=0D.S+R=1 Q G 22 Q R S 图T4.1图T4.2 2.图T4.2所示为由与非门组成的基本SR锁存器,为使锁存器处于“置1”状态, 其R S?应为。 A.R S?=.R S?=10D.R S?=11 3.SR锁存器电路如图T4.3所示,已知X 、Y波形,判断Q的波形应为A、B、C、D 中的。假定锁存器的初始状态为0。 X Y X Y A B C D 不定 不定 (a)(b) 图T4.3 4.有一T触发器,在T=1时,加上时钟脉冲,则触发器。 A.保持原态B.置0C.置1D.翻转 5.假设JK触发器的现态Q n=0,要求Q n+1=0,则应使。 A.J=×,K=0B.J=0,K=×C.J=1,K=×D.J=K=1 6.电路如图T4.6所示。实现A Q Q n n+ = +1的电路是。

A A A A A . B . C . D . 图T4.6 7.电路如图T4.7所示。实现n n Q Q =+1的电路是 。 CP CP CP A . B . C . D . 图T4.7 8.电路如图T4.8所示。输出端Q 所得波形的频率为CP 信号二分频的电路为。 1 A . B . C . D . 图T4.8 9.将D 触发器改造成T T Q 图T4.9 A .或非门 B .与非门 C .异或门 D .同或门 10.触发器异步输入端的作用是。 A .清0 B .置1 C .接收时钟脉冲 D .清0或置1 11.米里型时序逻辑电路的输出是。 A .只与输入有关

时序逻辑电路的分析方法 时序逻辑电路的分析:根据给定的电路,写出它的方程、列出状态转换真值表、画出状态转换图和时序图,而后得出它的功能。 同步时序逻辑电路的分析方法 同步时序逻辑电路的主要特点:在同步时序逻辑电路中,由于所有触发器都由同一个时钟脉冲信号CP来触发,它只控制触发器的翻转时刻,而对触发器翻转到何种状态并无影响,所以,在分析同步时序逻辑电路时,可以不考虑时钟条件。 1、基本分析步骤 1)写方程式: 输出方程:时序逻辑电路的输出逻辑表达式,它通常为现态和输入信号的函数。 驱动方程:各触发器输入端的逻辑表达式。 状态方程:将驱动方程代入相应触发器的特性方程中,便得到该触发器的状态方程。 2)列状态转换真值表: 将电路现态的各种取值代入状态方程和输出方程中进行计算,求出相应的次态和输出,从而列出状态转换真值表。如现态的起始值已给定时,则从给定值开始计算。如没有给定时,则可设定一个现态起始值依次进行计算。 3)逻辑功能的说明: 根据状态转换真值表来说明电路的逻辑功能。 4)画状态转换图和时序图: 状态转换图:是指电路由现态转换到次态的示意图。 时序图:是在时钟脉冲CP作用下,各触发器状态变化的波形图。 5)检验电路能否自启动 关于电路的自启动问题和检验方法,在下例中得到说明。

2、分析举例 例、试分析下图所示电路的逻辑功能,并画出状态转换图和时序图。 解:由上图所示电路可看出,时钟脉冲CP加在每个触发器的时钟脉冲输入端上。因此,它是一个同步时序逻辑电路,时钟方程可以不写。 ①写方程式: 输出方程: 驱动方程: 状态方程: ②列状态转换真值表: 状态转换真值表的作法是: 从第一个现态“000”开始,代入状态方程,得次态为“001”,代入输出方程,得输出为“0”。

实验十 Moore型同步时序逻辑电路的分析与设计 一、实验目的 1.掌握同步时序逻辑电路的分析、设计方法; 2.掌握时序逻辑电路的测试方法。 二、实验原理 1.Moore型同步时序逻辑电路的分析方法: 时序逻辑电路的分析,按照电路图,选择芯片,根据芯片管脚,在逻辑图上标明管脚号;大街电路后,根据电路要求输入时钟信号,要求出电路的状态转换图或时序图,从中分析出电路的功能。 2.Moore型同步时序逻辑电路的设计方法: (1)分析题意,求出状态转换图。 (2)状态化简:确定等价状态,电路中的等价状态可合并为一个状态。 (3)重新确定电路状态数N,求出触发器数你n,触发器数按下列公式求:2n-1

(8)功能仿真、时序仿真。 3.同步时序逻辑电路的设计举例: 试用D触发器设421码模5加法计数器。 (1)分析题意:由于是模5 (421码)加法计数器,其状态转换图如图1所示: (2)状态转换化简:由题意得该电路无等价状态。 (3)确定触发器数:根据,2n-1

(8)自启动检验:将各无效状态代入状态方程,分析状态转换情况,画出完整的状态转换图,如图3所示,检查是否能自启动。 (9)画出逻辑图,如图4 所示。 三、实验仪器 1.示波器1台 2.函数信号发生器1台 3.数字万用表1台 4.多功能电路实验箱1台

时序逻辑电路的设计 一、实验目的 1. 熟悉集成计数器的逻辑功能和各控制端的作用。 2. 掌握计数器的使用方法。 3. 掌握任意进制计数器的设计方法。 4. 了解8421BCD和5421BCD的编码规则。 二、实验器材 集成计数器74LS90、四2输入与非门74LS00、双4输入与非门74LS20、四异或门74LS86、六非门74LS04、显示译码器7447/7448、七段数码管 三、实验任务及要求 1. 设计要求 (1)用1片74LS90和1片与非门设计一个5进制计数器。 (2)用2片74LS90和1片与非门设计一个四十以内(十以上)的任意进制计数器。 2.实验内容 (1)测试所用芯片74LS90的逻辑功能(置0、置9、8421BCD计数输出功能)。(2)组装所设计的时序逻辑电路,并验证其功能是否正确。 提示:计数器的状态输出端分别接在实验箱上的显示译码器的输入端,用七段数码管显示计数状态值。CP接实验箱上的可调连续脉冲。 四、实验原理 1. 74LS90的逻辑功能

74LS90是二-五-十进制异步计数器。 (1)R9(1)=R9(2)=“1”,Q3Q2Q1Q0=1001,置9; (2)R0(1)=R0(2)=“1”,R9(1)‖R9(2)=“0”,Q3Q2Q1Q0=0000,置0; (3)计数脉冲由CP0端输入,输出由Q0端引出,即得二进制计数器; (4)计数脉冲由CP1端输入,输出由Q3,Q2,Q1端引出,即得五进制计数器;(5)将Q0和CP1相连,计数脉冲由CP0端输入,输出由Q3,Q2,Q1,Q0端引出,即得8421BCD码十进制计数器; 2. 时序逻辑电路的基本设计方法 Step 1:明确设计电路功能,作出基于功能涉及到的所有编码排序的状态转换图;Step 2:判断电路是否有输入或输出变量,并根据状态转换图画出状态转换表; Step 3:根据状态转换表,分离出各触发器输出量Q 0~Q m (m=1、2、3…)、输出 变量Y的卡诺图并化简,得到各个触发器的状态方程;

1.智能机器人能够识别并绕开障碍物,在充斥着障碍物的环境里自由行走。它的前端有一个接触传感器,当遇到障碍物时传感信号X=1,否则传感信号X=0。 它有两个控制信号Z1和Z0控制脚轮行走,Z1=1时控制机器人左转,Z0=1时控制机器人右转,Z1Z0=00时控制机器人直行。机器人遇到障碍物时的转向规则是:若上一次是左转,则这一次右转,直到未探测到障碍物时直行;若上一次是右转, 则这一次左转,直到未探测到障碍物时直行。试用D 触发器设计一个机器人控制器, 控制机器人的行走方式。 2.用JK 要求电路能够自启动。 3.设计一个序列检测器,(或三个以上)1时,序列检测器输出为1,否则输出0. 4.用D 触发器设计一个三位串行奇偶校验电路,当电路串行接收了三位二进制数,如果1的个数是偶数,在收到第三位数时,电路输出为1;其余情况下均为0。每三位二进制数为一组,在收到第三位数码后,电路返回初始状态,准备接收下一组数 5.用JK 触发器和门电路设计一个四位二进制数串行加法器,以实现最低位在前的两个串行二进制整数相加,输出为最低位在前的两数之和,其进位将寄存在串行加法器中,以便在下个cp 脉冲到来时与高一位的被加数及加数相加。 6.用隐含表化简法化简表1所示的原始状态表。并设计电路。 表1 7.对表2所示的最简状态表,提出一种合适的的状态分配方案, 列出其编码状态表,并设计电路。

表2 8.求出下表所示的激励函数和输出函数表达式,并画出电路。分别用D触发器J-K 9. “1111”序列检测器。当连续输入四个或四个以上的1时,电路输出为1;其它情况下电路输出为0。设计电路。 10.某序列检测器有一个输入X和一个输出Z,当收到的输入序列为“101”或“0110”时,在上述序列的最后一位到来时,输出Z=1,其它情况下Z=0,允许输入序列码重叠。试列出其原始状态表,并设计电路。 11.用d触发器设计模8计数器 12.用d触发器设计模10计数器,要求能自启动。

实验二时序电路的设计及显示 一、实验目的: 1.了解教学系统中8位八段数码管显示模块的工作原理,设计标准扫描驱动电路模块,以备后面实验调用。 2.会电路图输入方法和VHDL语言方法输入的混合使用。 二、硬件要求: 1.GW48EDA/SOPC+PK2实验系统。 三、实验内容及预习要求: 1.计数器(counter): 计数器(counter)是数字系统中常用的时序电路,因为计数是数字系统的基本操作之一。计数器在控制信号下计数,可以带复位和置位信号。因此,按照复位、置位与时钟信号是否同步可以将计数器分为同步计数器和异步计数器两种基本类型,每一种计数器又可以分为进行加计数和进行减计数两种。在VHDL描述中,加减计数用“+”和“-”表示即可。 (1)同步计数器: 同步计数器与其它同步时序电路一样,复位和置位信号都与时钟信号同步,在时钟沿跳变时进行复位和置位操作。例2-1为带时钟使能的同步4位二进制减法计数器的VHDL模型:

count是一个带时钟使能的同步4位二进制减法计数器,计数范围F~0。每当时钟信号或者复位信号有跳变时激活进程。如果此时复位信号clr有效(高电平),计数器被复位,输出计数结果为0;如果复位信号无效(低电平),而时钟信号clk出现上升沿,并且计数器的计数使能控制信号en有效(高电平),则计数器count自动减1,实现减计数功能。图S2-1为带时钟使能的同步4位二进制减法计数器的仿真波形图: 图S2-1 带时钟使能的同步4位二进制减法计数器的仿真图形 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY count IS PORT(clk,clr,en : IN STD_LOGIC; qa,qb,qc,qd : OUT STD_LOGIC); END count; ARCHITECTURE ONE OF count IS SIGNAL count_4 : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN qa <= count_4(0); qb <= count_4(1);

同步时序逻辑电路的分析 一.分析的目的:得出时序电路的逻辑功能。 二.分析的方法(步骤): 1、写方程式 (1)时钟方程:CP的逻辑式 (2)输出方程:时序电路输出逻辑表达式,它通常为现态的函数。 (3)驱动方程:各触发器输入端的逻辑表达式。 (4)状态方程:把驱动方程代入相应的触发器的特性方程,即可求出各个触发器次态输出的逻辑表达式。 2、列真值表; 3、画状态转换图; 4、画时序图; 5、逻辑功能说明:由状态表归纳说明给定的时序电路的逻辑功能; 6、检查电路能否自启动。 注意:常见时序电路: 1)计数器:同(异)步N进制加(减)法计数器。2)寄存器 三.时序逻辑电路中的几个概念说明

1.有效状态与有效循环 有效状态:在时序电路中,凡是被利用了的状态,都称为有效状态。 有效循环:在时序电路中,凡是有效状态形成的循环,都称为有效循环。 2.无效状态与无效循环 无效状态:在时序电路中,凡是没有被利用的状态,都叫无效状态。 无效循环:在时序电路中,如果无效状态形成了循环,那么这种循环就称为无效循环。 3.电路能自启动与不能自启动 能自启动:在时序电路中,虽然存在无效状态,但是它们没有形成循环,这样的时序电路叫能够自启动的时序电路。 不能自启动:在时序电路中,既有无效状态存在,且它们之间又形成了循环,这样的时序电路被称之为不能自启动的时序电路。在这种电路中,一旦因某种原因使循环进入无效循环,就再也回不到有效状态了,所以,再要正常工作也就不可能了。 四.同步时序电路的分析举例

例1 试分析如图所示的时序电路的逻辑功能 Y CP 解:(1)写方程式 时钟方程: CP CP CP CP ===210 输出方程: n n n Q Q Q Y 012= 驱动方程: n Q J 20= n Q K 20= n Q J 01= n Q K 01= n Q J 12= n Q K 12= 状态方程:把驱动方程分别代入特性方程 JK 触发器的特性方程:n n n Q K Q J Q +=+1 (6-2-4),得状态方程: n n n n n n n n Q Q Q Q Q Q K Q J Q 20202000010=+=+=+ () n n n n n n n n Q Q Q Q Q Q K Q J Q 010********=+=+=+ n n n n n n n n Q Q Q Q Q Q K Q J Q 12121222212=+=+=+ (2)列状态表 依次假设电路得现态n n n Q Q Q 012 ,代入状态方程式和输

时序逻辑电路的设计 、实验目的 1. 熟悉集成计数器的逻辑功能和各控制端的作用。 2. 掌握计数器的使用方法。 3. 掌握任意进制计数器的设计方法。 4. 了解8421BCD和5421BCD的编码规则。 二、实验器材 集成计数器74LS90、四2输入与非门74LS00、双4输入与非门74LS20、四异或门74LS86、六非门74LS04、显示译码器7447/7448、七段数码管 三、实验任务及要求 1. 设计要求 (1)用1 片74LS90和1 片与非门设计一个5 进制计数器。 (2)用2片74LS90和1片与非门设计一个四十以内(十以上)的任意进制计数器。 2. 实验内容 (1)测试所用芯片74LS90的逻辑功能(置0、置9、8421BCD计数输出功能)。(2)组装所设计的时序逻辑电路,并验证其功能是否正确。 提示:计数器的状态输出端分别接在实验箱上的显示译码器的输入端,用七段数码管显示计数状态值。CP接实验箱上的可调连续脉冲。 四、实验原理 1. 74LS90的逻辑功能

74LS90是二-五-十进制异步计数器。 (1)R9(1)=R9(2)=“ 1”,Q3Q2Q1Q0=1001,置9; (2)R0(1)=R0(2)=“ 1”,R9(1)‖R9(2)=“0”,Q3Q2Q1Q0=0000置, 0; (3)计数脉冲由CP0端输入,输出由Q0 端引出,即得二进制计数器; (4)计数脉冲由CP1 端输入,输出由Q3,Q2,Q1端引出,即得五进制计数器; (5)将Q0和CP1相连,计数脉冲由CP0端输入,输出由Q3,Q2,Q1,Q0端引出,即得8421BCD码十进制计数器; 2. 时序逻辑电路的基本设计方法 Step 1:明确设计电路功能,作出基于功能涉及到的所有编码排序的状态转换图;Step 2 :判断电路是否有输入或输出变量,并根据状态转换图画出状态转换表;Step 3 :根据状态转换表,分离出各触发器输出量Q0~Q m(m=1、2、3, )、输出变量Y 的卡诺图并化简,得到各个触发器的状态方程;

实验四 时序逻辑电路的设计 2017年秋季学期 自动化系 一、实验目的 1.学习时序逻辑电路的分析方法和设计方法。 2.熟悉并掌握利用中小规模芯片实现时序逻辑电路的方法。 3.提高调试数字电路的能力。 二、预习任务 按照以下任务要求完成预习报告。 1.阅读任务要求,完成电路设计,包括: (1) 查阅实现电路设计所需芯片的数据手册。 (2) 根据同步时序逻辑电路的设计方法,写出设计“星期显示”电路的具体步骤,如状态转换图、状态化简、方程组等。 (3) 在面包板上搭接“星期显示”电路。 (4) 对“时间显示”电路进行模块划分,并说明各模块电路功能。 (5) 用EDA软件完成“时间显示”电路的设计输入、仿真和下载。 (6) 画出实现全部电路功能的纸版逻辑图(手绘或打印均可)。 2.由信号发生器为“时间显示”电路提供时钟脉冲,请写出该信号的电压取值范围。 3.写出调试电路的方法和步骤、注意事项等。 三、必做任务 设计一个如图所示的数字钟电路,可以显示星期、小时和分钟。 根据电路的功能进行模块划分,数字钟电路可分为“时间显示”(以下用M1表示)和“星期显示”(以下用M2表示)两个模块,其中M2电路在面包板上实现、M1电路在FPGA实验板上实现,两个电路之间由杜邦线(见附录)连接。 数字钟电路 1.用D触发器74HC74和必要的门电路设计M2电路。该电路搭建在面包板上,用学习机上的1位数码管显示1~7,代表显示星期一~星期日。 2.设计M1电路,时间显示范围为00:00~23:59,有手动清零和整点报时功能。 (1) M1电路在FPGA板上实现,电路的输入方式不限,即可用原理图输入、硬件描述语言输入或两种方式混合输入。如用原理图输入方式,建议选用元件库中的计数器芯片和必要的门电路。 (2) 由信号发生器为M1电路提供时钟输入,经FPGA板扩展端口中的引脚接入电路。扩展端口的引脚排列顺序见“FPGA实验板说明书”或“Basys3实验板说明书”。 (3) 用FPGA板上的4位数码管显示小时和分钟。 (4) 用FPGA板上的1个拨码开关实现手动清零。

第五章同步时序逻辑电路的习题 一、基本知识点 1时序逻辑电路的一般结构 特点:a 、有存储电路(记忆元件);有组合电路(特殊时可没有) b 、 包含反馈电路,电路功能与“时序”相关 c 、 输出不仅与输入(X )有关,而且与存储状态(Y )有关 分类:(1) Mealy 型 Z = F ( X , Q ) 输出是电路的输入和现态的函数 (注意输出与输入有直接关系) 过去输入 --------- ?现态 1 -- ?- 输出 现在输入 (2) Moore 型 Z = F ( Q ) 输出仅仅是电路现态的函数 (注意输出与输入 没有直接关系) 同步时序逻辑电路:各触发器共用同一时钟信号,即电路中各触发器状态的转换时刻在 统一时钟信号控制下同步发生。 异步时序逻辑电路:电路没有统一的时钟信号对状态变化进行同步控制, 输入信号的变 化将直接引起电路状态的变化。 〃本课程将较少讨论异步时序逻辑电路 2、同步时序逻辑电路的描述 注意:任一个同步时序逻辑电路的结构和功能可用 3组函数表达式完整地描述。 (1) 激励函数表达式: 存储电路输入 Y 与电路输入X 和现态Q 之间的关系 Y = F (X , Q ) //现态Q 就是上图存储电路原始的输出 y k (2) 次态函数表达式: 电路的次态Q n+1与激励函数Y 和现态Q 之间关系 Q n+1 = F (Y , Q ) //次态Q n+1就是上图存储电路再次触发后的输出 y k n+1 (3) 输出函数表达式: 电路的输出Z 和输入X 和当前现态Q 的关系 输 入 信 号 X 2 X 1 y s X n 输 出 信 号 所有输入 *现态 ---------- ? 输出

时序逻辑电路设计题-CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN

第1题: 设计一个串行数据检测器,对它的要求是:连续输入3个或3个以上的1时输出为1,其他输入情况下输出为0。 答案 输入数据作为输入变量,用X 表示;检测结果为输出变量,用Y 表示。 设电路没有输入1以前的状态为0S ,输入一个1状态为1S ,连续输入两个1后的状态为2S ,连续输入3个1以后的状态为3S 。状态转换图为: 求得触发器的输入方程为: X K XQ J ==101; 1;010==K Q X J 输出方程:1XQ Y = 画出逻辑图 第2题: 试用JK 触发器和门电路设计一个同步七进制计数器。 答案 因为七进制计数器需要有7个不同的状态,所以需要用三个触发器组成。根据题目要求画出状态转换图: 卡诺图为: 从卡诺图得到的状态方程为:

驱动方程为: 设计得到的逻辑电路图为: 第3题:设计一“011”序列检测器,每当输入011码时,对应最后一个1,电路输出为1。 答案 画出原始状态图(或称转移图) 输入端X:输入一串行随机信号 输出端Z:当X出现011序列时,Z=1;否则Z=0 选用T触发器 表达式为: A B C D 1/0 0/0 0/0 1/1 0/0 0/01/0 1/0 1 1 XQ Q T+ = XQ Q X T+ =

T触发器的驱动方程为: 第4题:用JK触发器设计时序逻辑电路,状态表如下所示: n n Q Q 1 Y Q Q n n/1 1 1 + + A=0A=1 0001/011/0 0110/000/0 1011/001/0 1100/110/1 答案 所要设计的电路由4个状态,需要用两个JK触发器实现,求得JK 触发器的激励方程为:1 = =K J 1 1 Q A K J⊕ = = 输出方程: 1 Q Q Y= 由输出方程和激励方程画电路 1 Q XQ Z= 1 1 XQ Q T+ = XQ Q X T+ = Z=

高速电路设计中时序计算方法与应用实例 来源:互联网 1满足接收端芯片的建立,保持时间的必要性 在高速数字电路设计中,由于趋肤效应、临近干扰、电流高速变化等因素, 设计者不能 单纯地从数字电路的角度来审查自己的产品, 而要把信号看作不稳定的模拟信号。 采用频谱 分析仪对信号分析,可以发现,信号的高频谱线主要来自于信号的变化沿而不是信号频率。 例如一个1MHz 的信号,虽然时钟周期为 1微秒,但是如果其变化沿上升或下降时间为纳秒 级,则在频谱仪上可以观察到频率高达数百兆赫兹的谱线。 信号的边沿,因为边沿往往也就是信号频谱最高、最容易受到干扰的地方。 在同步设计中,数据的读取需要基于时钟采样,根据以上分析,为了得到稳定的数据, 时钟的采样点应该远离数据的变化沿。 图1是利用时钟CLK 的上升沿采样数据 DATA 勺示例。DATA 发生变化后,需要等待至少 SetuP 时间(建立时间)才能被采样,而采样之后,至少 不能发生变化。因此可以看出,器件的建立时间和保持时间的要求, 正是为了保证时钟的采 样点远离数据的变化沿。 如果在芯片的输入端不能满足这些要求, 那么芯片内部的逻辑将处 于非稳态,功能出现异常。 因此,电路设计者应该更加关注 Hold 时间(保持时间)之内 DATA DATA 卜 eerup f HokJ X 图1信号采样示例 图2源同步系统拓扑图

2时序分析中的关键参数 为了进行时序分析,需要从 datasheet (芯片手册)中提取以下关键参数: ? Freq :时钟频率,该参数取决于对芯片工作速率的要求。 ? Tcycle :时钟周期,根据时钟频率 Freq 的倒数求得。Tcycle=1/Freq. ? Teo :时钟到数据输出的延时。上文提到,输入数据需要采用时钟采样,而输出数据 同样也需要参考时钟,不过一般而言,相比时钟,输出的数据需要在芯片内延迟一段时间, 这个时间就称为Teo.该参数取决于芯片制造工艺。 ? TsetuP (min ):最小输入建立时间要求。 ? Thold ( min ):最小输入保持时间要求。 除以上五个参数外,时序分析中还需要如下经验参数: ? Vsig :信号传输速度。信号在电路上传输,传输速度约为 时序计算的目标是得到以下两个参数之间的关系: ? Tflight-data :数据信号在电路板上的走线延时。 ? Tflight-clk :时钟信号在电路板上的走线延时。 以上参数是进行时序分析的关键参数,对于普通的时序分析已经足够。 源同步系统的时序计算 源同步系统指数据和时钟是由同一个器件驱动发出的情况, 扑结构: 该系统的特点是,时钟和数据均由发送端器件发出, 在接收端,利用接收到的时钟信号 CLK 采样输入数据信号 DATA. 源同步系统的时序计算公式为: (式 2) 6英寸/纳秒。 F 图是常见的源同步系统拓 TCO ( max ) + ( Tflight-data - Tflight-clk )MAX + Tsetup ( min )Thold ( min )

相关文档