文档库 最新最全的文档下载
当前位置:文档库 › 北邮电子电路综合实验——声控报警电路设计

北邮电子电路综合实验——声控报警电路设计

北邮电子电路综合实验——声控报警电路设计
北邮电子电路综合实验——声控报警电路设计

北邮电子电路综合实验

声控报警电路设计

摘要:

声控电路在我们生活中非常常见,如各种家用电器、小电子产品和汽车防盗报警器等,本题目主要利用LM358运放与NE555多谐振荡器实现声控报警功能,在锻炼搭建模拟电路能力的同时,具有极高的实践价值。整个实验时间约为20个小时,克服了种种故障问题,顺利完成了实验目标。

关键词:

声控报警LM358运放NE555方波震荡器

设计任务要求:

在麦克风近处击掌(模拟异常响动),电路能发出报警声,持续时间大于5秒。声音传感器用驻极体式咪头,蜂鸣器用无源压电式蜂鸣器。提高要求:增加输出功率,提高报警音量,加强威慑力。

设计思路:

电路的第一级应为驻极体麦克风,采集声音信号并将其转化为电信号,输出至第二级LM358放大电路,并采用双运放连接,一组放大一组作为电压跟随器稳定放大后的输出。第三级为LM358电压比较器,设置好参考电压后接收放大电路的输出信号并与其比较,若大于参考电压则输出高电平,高电平驱动下一级:NE555方波震荡电路,使其输出方波信号,再经过一级LM358放大电路后驱动喇叭报警。

系统框图:

放大端电路图

注意这里只有一个只用到了一个LM358运放,图中是LM358的双运放连发。U1B为运放之一作为射级跟随器稳定输出。

电压比较器

方波震荡电路:

总体电路:

功能说明:

已实现的主要功能为:驻极体麦克风感受到声音或吹气引发的空气震动时,电路会驱动喇叭报警。麦克风收到的声音信号在其内部转化为10-100mV的电压信号,经过第一级LM358放大,放大倍数为50倍;放大之后的电压信号约为500mV-5V,经过延时电路延时约7-10秒后进入电压比较电路,LM358电压比较器的参考电压设为500mV,若有声音,则输入端电压大于参考电压,比较器输出延时高电平,延时高电平驱动NE555产生7-10秒的方波,方波驱动喇叭发声。

扩展功能:在NE555振荡电路与喇叭之间可添加一级LM358放大电路提高输出功率,是报警声音更高,更具威慑力。

故障及问题分析:

驻极体麦克风的正负极分析,是用万用表进行测量,先测一次阻值,然后交换表笔再测一次阻值,两次测量中,电阻偏小的那一次测量中黑表笔端为麦克风的负极。若正负极连入电路颠倒,则不能正常的将声音信号转化为电压信号。

麦克风正极输出点信号后,通过电容连入放大级时需在电容后再添加一个下拉电阻,否

则放大级的输入信号会有很大的直流分量,严重影响后续电压比较。

延时电路部分,需在之前加一个二极管,否则RC充电完延时开始放电时电流会倒灌进前一放大级。

总结:

声控报警电路作为第一次在模拟电子电路实验中出现的题目,具有一定的难度;由于没有现成电路图,每一级电路均需自己调查研究,但其实有了系统框图,整体层次感分明后,针对每一级进行电路选择,难度并不是很高,第一级LM358运算放大器,第二级LM358电压比较器均为常见运放电路,第三级NE555更是振荡电路中常见的元件。难点在于驻极体麦克风的接入、延时电路的搭建与参考电压的选择上,均需在实验室中通过不断调试一步步修正以达到目的。总的来说,本次试验圆满成功,不仅让我对模拟电路的知识运用有了更深的理解,更激发了我的学习兴趣,对电路实验也更为熟悉了解了。

仿真波形图:

放大器:

电压比较器:

NE555方波震荡器:

所用元器件

2-3个LM358运放

1个NE555芯片

1个驻极体麦克风

1个喇叭/ 蜂鸣器

1个1N4148二极管

导线若干,电阻若干,电容若干,电位器若干

所用仪器仪表:

函数信号发生器1台

双通道示波器1台

双路直流稳压电源1台

万用表1台

交流毫伏表1台

参考文献:LM358P数据手册NE555数据手册驻极体麦克风数据手册《LM358常用电路》等

附:驻极体麦克风需在正极端加直流电源、保护电阻,且保护电阻阻值与麦克风声音电压转换灵敏度有关。

北京邮电大学 模拟电路实验

矿石收音机论坛?〓基础知识普及〓?面包板及其使用法 面包板及其使用法 面包板及其使用法 编者注:为了提高青少年的电子技术素养,促进学生全面发展,培养创业意识和创造技能,本刊(无线电)特约多年从事科普教育的特级教师,北京市有特殊贡献的专家孙心若撰写“电子控制技术入门”系列文章。他根据丰富的电子技术、发明创造教学体验,结合青少年的身心特点,进行有趣的“做中学”和“学中做”电路实验,引导青少年由表及里、由浅入深、循序渐进,获得“操作”体验,熏陶科学情感、发展技术能力,特别提供电子技术发展信息,增强创新意识并为他们展示创造能力营造条件。在内容选择上以电子控制技术内容为中心,以基本电路实验为基础,以数字集成电路为重点,并涉及实验所必需的基本理论及技能技巧,同时介绍青少年感兴趣的一些电子器件、小制作和小发明实例。配刊光盘中将用活动图像的形式讲解和演示这些电路实验的过程和现象,光盘中还加入了一些生活中的应用实例。 一、什么是"面包板"? 1.面包板的构造 面包板即"集成电路实验板",就是一种插件板,此"板"上具有若干小型"插座(孔)".在进行电路实验时,可以根据电路连接要求,在相应孔内插入电子元器件的引脚以及导线等,使其与孔内弹性接触簧片接触,由此连接成所需的实验电路。图1为SYB—118型面包板示意图: 为4行59列,每条金属簧片上有5个插孔,因此插入这5个孔内的导线就被金属簧片连接在一起。簧片之间在电气上彼此绝缘。插孔间及簧片间的距离均与双列直插式(DIP)集成电路管脚的标准间距2.54mm相同,因而适于插入各种数字集成电路。 2.面包板使用注意事项 插入面包板上孔内引脚或导线铜芯直径为0.4~0.6mm,即比大头针的直径略微细一点。元器件引脚或导线头要沿面包板的板面垂直方向插入方孔,应能感觉到有轻微、均匀的摩擦阻力,在面包板倒置时,元器件应能被簧片夹住而不脱落。面包板应该在通风、干燥处存放,特别要避免被电池漏出的电解液所腐蚀。要保持面包板清洁,焊接过的元器件不要插在面包板上。 3.面包板实验套材

北邮数字电路综合实验报告

数字电路综合实验报告 简易智能密码锁 一、实验课题及任务要求 设计并实现一个数字密码锁,密码锁有四位数字密码和一个确认开锁按键,密码输入正确,密码锁打开,密码输入错误进行警示。 基本要求: 1、密码设置:通过键盘进行4 位数字密码设定输入,在数码管上显示所输入数字。通过密码设置确定键(BTN 键)进行锁定。 2、开锁:在闭锁状态下,可以输入密码开锁,且每输入一位密码,在数码管上显示“-”,提示已输入密码的位数。输入四位核对密码后,按“开锁”键,若密码正确则系统开锁,若密码错误系统仍然处于闭锁状态,并用蜂鸣器或led 闪烁报警。 3、在开锁状态下,可以通过密码复位键(BTN 键)来清除密码,恢复初始密码“0000”。闭锁状态下不能清除密码。 4、用点阵显示开锁和闭锁状态。 提高要求: 1、输入密码数字由右向左依次显示,即:每输入一数字显示在最右边的数码管上,同时将先前输入的所有数字向左移动一位。 2、密码锁的密码位数(4~6 位)可调。

3、自拟其它功能。 二、系统设计 2.1系统总体框图 2.2逻辑流程图

2.3MDS图 2.4分块说明 程序主要分为6个模块:键盘模块,数码管模块,点阵模块,报警模块,防抖模块,控制模块。以下进行详细介绍。 1.键盘模块 本模块主要完成是4×4键盘扫描,然后获取其键值,并对其进行编码,从而进行按键的识别,并将相应的按键值进行显示。 键盘扫描的实现过程如下:对于4×4键盘,通常连接为4行、4列,因此要识别按键,只需要知道是哪一行和哪一列即可,为了完成这一识别过程,我们的思想是,首先固定输出高电平,在读入输出的行值时,通常高电平会被低电平拉低,当当前位置为高电平“1”时,没有按键按下,否则,如果读入的4行有一位为低电平,那么对应的该行肯定有一个按键按下,这样便可以获取到按键的行值。同理,获取列值也是如此,先输出4列为高电平,然后在输出4行为低电平,再读入列值,如果其中有哪一位为低电平,那么肯定对应的那一列有按键按下。由此可确定按键位置。

2018_2019学年第一学期《电子电路基础》理论考试期末试卷

2018~2019学年第一学期《电子电路基础》理论考试期末试卷(机电专业) 一、填空题(每空1分,共 30 分) 1、稳压二极管工作于区,在稳压电路中,必须串接电阻,防止超过极限值而发生热击穿损坏稳压管。 2、三极管有两个结,分别为、,三个电极分别为、和和三极管按内部结构不同可分为和型。 3、三极管的输出特性曲线可分为三个区域,即区、区和区,当三极管工作在区时,Ic=βIb 4、为了使放大器不失真地放大信号,放大器必须设置适当的,以保证三极管始终工作在区。 5、在共射基本放大器中(NPN管),若静态工作点设置偏高,易产生失真,减小饱和失真的方法是使Rb ,Q点下移;静态工作点设置偏低,易引起失真,此时,ic的半周出现平顶,uce的半周出现平顶。 6、多级放大器的级间耦合方式有、、和等四种。 7、按反馈的极性分,有反馈和反馈,判断方法可采用,反馈结果使净输入量减小的是反馈,使净输入量增大的是反馈。 二、判断题(每空2分共20分) 1、晶体二极管的正向特性也有稳压作用。() 2、稳压二极管按材料分为硅管和锗管。() 3、二极管的反向电阻越大,其单向导电性能就越好。() 4、晶体二极管和三极管都是非线性器件。() 5、放大器能放大信号的能量来源于电源Vcc。() 6、共射放大器产生截止失真的原因,是它的静态工作点设置偏低。() 7、射极输出器电压放大倍数小于1,接近于1,所以射极输出器不是放大器。() 8、共基极放大器没有电流放大作用,所以没有功率放大能力。() 9、直流放大器的级间耦合,可采用变压器耦合。() 10、在串联反馈中,反馈信号在输入端是以电压形式出现,在并联反馈中,反馈信号在输入端是以电流形式出现。() 三、选择题(每空2分共40分) 1、测量小功率晶体二极管性能好坏时,应把万用表欧姆挡拨到()。 A.R×100或R×1K B.R×1 C.R×10K 2、半导体中的空穴和自由电子数目相等,这样的半导体称为()。 A.P型半导体 B.本征半导体 C.N型半导体3、二极管的正向电阻()反向电阻。 A.大于 B.小于 C.等于 D.不确定 4、三极管的发射结正偏,集电结反偏时,三极管处于()。 A.放大状态 B.饱和状态 C.截止状态 5、三极管在饱和状态时,它的Ic将() A.随Ib的增加而增加 B.随Ib的增加而减小 C.与Ib无关 6、三极管的特性曲线是指它的() A.输入特性曲线 B.输出特性曲线 C.输入特性和输出特性曲线 7、在三极管的输出特性曲线中,每一条曲线与()对应。 A.输入电压 B.基极电压 C.基极电流 8、在三极管放大器中,三极管各极电位最高的是()。 A.NPN管的集电极 B.PNP管的集电极 C.NPN管的发射极 D.PNP管的基极 9、三极管组成放大器时,根据公共端的不同,可有()种连接方式。 A.1 B.2 C.3 D.4 10、电压放大器的空载是指()。 A.Rc=0 B.RL=0 C.RL=∞ D.Rc=∞ 11、放大器的交流通路是指()。 A.电压回路 B.电流回路 C.交流信号流过的路径 12、放大器与负载之间要做到阻抗匹配,应采用()耦合。 A.阻容 B.变压器 C.直接 13、直接耦合放大器()。 A.只能传递直流信号 B.只能传递交流信号 C.交直流信号都能传递 14、阻容耦合放大器()。 A.只能传递直流信号 B.只能传递交流信号 C.交直流信号都能传递 15、采用差分放大器的目的是为()。 A.抑制零点漂移 B.提高电压放大倍数 C.增大输入电阻 16、反馈放大电路的含义是()。 A.输入与输出之间有信号通路 B.电路中存在反向传输的信号通路 C.除放大电路外,还有反向传输的信号通路。 17、如图所示,反馈类型为()。

北邮通电实验报告

实验3 集成乘法器幅度调制电路 信息与通信工程学院 2016211112班 苏晓玥杨宇宁 2016210349 2016210350

一.实验目的 1.通过实验了解振幅调制的工作原理。 2.掌握用MC1496来实现AM和DSB的方法,并研究已调波与调制信号,载波之间的关系。3.掌握用示波器测量调幅系数的方法。 二.实验准备 1.本实验时应具备的知识点 (1)幅度调制 (2)用模拟乘法器实现幅度调制 (3)MC1496四象限模拟相乘器 2.本实验时所用到的仪器 (1)③号实验板《调幅与功率放大器电路》 (2)示波器 (3)万用表 (4)直流稳压电源 (5)高频信号源 三.实验内容 1.模拟相乘调幅器的输入失调电压调节。 2.用示波器观察正常调幅波(AM)波形,并测量其调幅系数。 3.用示波器观察平衡调幅波(抑制载波的双边带波形DSB)波形。 四.实验波形记录、说明 1.DSB信号波形观察

2.DSB信号反相点观察 3.DSB信号波形与载波波形的相位比较 结论:在调制信号正半周期间,两者同相;负半周期间,两者反相。

4.AM正常波形观测 5.过调制时的AM波形观察(1)调制度为100%

(2)调制度大于100% (3)调制度为30% A=260.0mv B=140.0mv

五.实验结论 我们通过实验了解振幅调制的工作原理是:调幅调制就是用低频调制信号去控制高频振荡(载波)的幅度,使其成为带有低频信息的调幅波。目前由于集成电路的发展,集成模拟相乘器得到广泛的应用,为此本实验采用价格较低廉的MC1496集成模拟相乘器来实现调幅之功能。 DSB信号波形与载波波形的相位关系是:在调制信号正半周期间,两者同相;负半周期间,两者反相。 通过实验了解到了调制度的计算方法 六.课程心得体会 通过本次实验,我们了解了振幅调制的工作原理并掌握了实现AM和DSB的方法,学会计算调制度,具体见实验结论。我们对集成乘法器幅度调制电路有了更好的了解,对他有了更深入的认识,提高了对通信电子电路的兴趣。 和模电实验的单独进行,通电实验增强了团队配合的能力,两个人的有效分工提高了实验的效率,减少了一个人的独自苦恼。

10、北邮2017年电子电路冲刺题及答案

北京邮电大学电子电路(802)模拟试题 模拟部份 一、填空题(共15分,每空0.5分) 1.电子技术分为模拟电子技术和数字电子技术两大部分,其中研究在平滑、连续变化的电 压或电流信号下工作的电子电路及其技术,称为【1】电子技术。 2.PN 结反向偏置时,PN 结的内电场【2】。PN 具有【3】特性。 3.硅二极管导通后,其管压降是恒定的,且不随电流而改变,典型值为【4】伏;其门坎电压V th 约为【5】伏。 4.为了保证三极管工作在放大区,要求: ①发射结【6】偏置,集电结【7】偏置。 ②对于NPN型三极管,应使VBC 【8】。 5.放大器级间耦合方式主要有阻容(RC )耦合、直接耦合和【9】耦合三大类。 6.在三极管组成的三种不同组态的放大电路中,共射和共基组态有电压放大作用,【10】组态有电流放大作用,【11】组态有倒相作用;【12】组态带负载能力强,【13】组态向信号源索取的电流小,【14】组态的频率响应好。 7.场效应管是【15】器件,只依靠【16】导电。 8.石英晶体振荡器是【17】的特殊形式,因而振荡频率具有很高的稳定性。 9.将交流电变换成脉动直流电的电路称为整流电路;半波整流电路输出的直流电压平均值等于输入的交流电压(即变压器副边电压)有效值的【18】倍;全波整流电路输出的直流电压平均值等于输入的交流电压(即变压器副边电压)有效值的【19】倍。 10.差动放大电路中的长尾电阻Re 或恒流管的作用是引人一个【20】反馈。(1分) 11.为了分别达到下列要求,应引人何种类型的反馈: ①降低电路对信号源索取的电流:【21】。 ②当环境温度变化或换用不同值的三极管时,要求放大电路的静态工作点保持稳定:【22】。 ③稳定输出电流:【23】。 12.在构成电压比较器时集成运放工作在开环或【24】状态。 13.某负反馈放大电路的开环放大倍数A=100000,反馈系数F=0.01,则闭环放大倍数 【25】。 14.差分式放大电路能放大直流和交流信号,它对【26】具有放大能力,它对【27】具有抑 制能力。 15.乙类功放的主要优点是【28】,但出现交越失真,克服交越失真的方法是【29】。 二、单项选择题(每小题1分,共10分) 1.在本征半导体中掺入( )构成P 型半导体。 β≈

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

北邮电子电路实验函数信号发生器实验报告教材

北京邮电大学 电子电路综合设计实验实验报告 实验题目:函数信号发生器 院系:信息与通信工程学院 班级: 姓名: 学号: 班内序号:

一、课题名称: 函数信号发生器的设计 二、摘要: 方波-三角波产生电路主要有运放组成,其中由施密特触发器多谐振荡器产生方波,积分电路将方波转化为三角波,差分电路实现三角波-正弦波的变换。该电路振荡频率由第一个电位器调节,输出方波幅度的大小由稳压管的稳压值决定;正弦波幅度和电路的对称性分别由后两个电位器调节。 关键词:方波三角波正弦波频率可调幅度 三、设计任务要求: 1.基本要求: 设计制作一个方波-三角波-正弦波信号发生器,供电电源为±12V。 1)输出频率能在1-10KHZ范围内连续可调; 2)方波输出电压Uopp=12V(误差<20%),上升、下降沿小于10us; 3)三角波输出信号电压Uopp=8V(误差<20%); 4)正弦波信号输出电压Uopp≥1V,无明显失真。 2.提高要求: 1)正弦波、三角波和方波的输出信号的峰峰值Uopp均在1~10V范围内连续可调; 2)将输出方波改为占空比可调的矩形波,占空比可调范围30%--70% 四、设计思路 1. 结构框图 实验设计函数发生器实现方波、三角波和正弦波的输出,其可采用电路图有多种。此次 实验采用迟滞比较器生成方波,RC积分器生成三角波,差分放大器生成正弦波。除保证良 好波形输出外,还须实现频率、幅度、占空比的调节,即须在基本电路基础上进行改良。 由比较器与积分器组成的方波三角波发生器,比较器输出的方波信号经积分器生成三角

波,再经由差分放大器生成正弦波信号。其中方波三角波生成电路为基本电路,添加电位器调节使其频率幅度改变;正弦波生成电路采用差分放大器,由于差分放大电路具有工作点稳定、输入阻抗高、抗干扰能力较强等优点,特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。 2.系统的组成框图 五、分块电路与总体电路的设计 1.方波—三角波产生电路 电源电路 方波-三角波 发生电路 正弦波发生电路 方波输出 三角波输出 正弦波输出

电子线路期末试卷及答案

(1)在半导体内部,只有电子是载流子。 (2)在N型半导体中,多数载流子是空穴,少数载流子是自由电子。 (3)一般来说,硅晶体二极管的死区电压(门槛电压)小子锗晶体二极管的死区电压。 (4)在外电场作用下,半导体中同时出现电子电流和空穴电流。 (5)晶体三极管出现饱和失真是由于静态电流I CQ选得偏低。 (6)用万用表测某晶体二极管的正向电阻时,插在万用表标有“十”号插孔中的测试棒(通常是红色棒)所连接的二极管的管脚是二极管的正极,另一电极是负极。 (7)三极管放大电路工作时,电路中同时存在直流分量和交流分量;直流分量表示静态工作点,交流分量表示信号的变化情况。 (8)在单管放大电路中,若V G不变,只要改变集电极电阻Rc的值就可改变集电极电流Ic的值。 (9)两个放大器单独使用时,电压放大倍数分别为A v1、A v2,这两个放大器连成两级放大器后,总的放大倍数为A v,A v= A v1+A v2。 (10)晶体二极管在反向电压小于反向击穿电压时,反向电流极小;当反向电压大于反向击穿电压后,反向电流会迅速增大。 (1)当晶体二极管的PN结导通后,则参加导电的是( )。 A.少数载流子 B.多数载流子 C.既有少数载流子又有多数载流子 (2)在共发射极单管低频电压放大电路中,输出电压应视为( )。 A.v o=i c R c B.v o=-R c i c C.v o=-I c R c (3)用万用表欧姆挡测量小功率晶体二极管性能好坏时,应把欧姆挡拔到( )。 A.R×100Ω或R×1000Ω挡 B.R×1Ω C.R×10KΩ挡 (4)当晶体二极管工作在伏安特性曲线的正向特性区,而且所受正向电压大于其门槛电压时,则晶体二极管相当于()。 A.大电阻 B.断开的开关 C.接通的开关 (5)晶体三极管工作在饱和状态时,它的I C将( )。 A.随I B增加而增加 B.随I B增加而减小 C.与I B无关,只决定于R C和V G (6)共发射极放大器的输出电压和输入电压在相位上的关系是( )。 A.同相位 B.相位差90° C.相位差180° (7)NPN型三极管放大电路中,当集电极电流增大时,则晶体三极管( )。 A.基极电流不变; B.集电极对发射极电压V CE下降; C.集电极对发射极电压V CE上升 (8)当晶体三极管发射结反偏时,则晶体三极管的集电极电流将( )。 A.增大 B.反向 C.中断 (9)在基本放大电路中,基极电阻R B的作用是( )。 A.放大电流 B.调节偏置电流I BQ C.把放大了的电流转换成电压; D.防止输入信导被短路。 (10)三极管的两个PN结都反偏时,则三极管所处的状态是()。 A.放大状态 B.饱和状态 C.截止状态 三.填充题(2’×10) (1)晶体三极管I E、I B、I C之间的关系式是__________________,△I C/△I B的比值叫____________________。 (2)PN结具有____________________性能,即:加____________________电压时PN结导通;加_______________电压时PN结截止。 (3)当晶体二极管导通后,则硅二极管的正向压降为_________V,锗二极管的正向压降为__________V。 (4)NPN型晶体三极管的发射区是____________型半导体,集电区是____________型半导体,基区是____________型半导体。 (5)晶体二极管因所加_______________________电压过大而__________________,并且出现__________________的现象,称为热击穿。 (6)某固定偏置放大器中,实测得三极管集电极电位V C≈V G,则该放大器的三极管处于________________________工作状态。 (7)晶体三极管的穿透电流I CEO随温度的升高而增大,由于锗三极管的穿透电流比硅三极管_____________,所以热稳定性____________三极管较好。

电子电路测量实验(北邮)

北京邮电大学 电子电路综合设计实验 实验报告 课题名称:函数信号发生器 院系:电子工程学院

摘要 本实验的目的在于使用集成运算放大器设计一个方波—三角波—正弦波发生器。其中,由施密特触发器组成的多谐振荡器产生方波,再经积分运算电路产生三角波。最后,经过差分放大器,利用晶体管的非线性特性将三角波变换为正弦波。并要求波形达到一定的幅值、频率等要求。 关键词 函数信号发生器方波三角波正弦波集成运放 正文 一、设计任务要求 1基本要求 (1)信号输出频率在1~10kHz范围内连续可调,无明显失真。 (2)方波信号输出电压U opp=12V(误差≤20%),上升、下降沿小于10us,占空比范围为30%~70%。 (3)三角波信号输出电压U opp=8V(误差≤20%)。 (4)正弦波信号输出电压U opp≥1V 2提高要求 (1)将输出方波改为占空比可调的矩形波,占空比可挑范围为30%‐70%;

(2)三种波形的输出峰峰值U opp均可在1V-10V 范围内连续可调。 3+ 二、实验原理及设计过程 1总体思路 函数信号发生器的构成方法多样。本实验来看,可以先产生方波,由方波积分得到三角波,在由三角波经过整形得到正弦波;也可以先产生正弦波,将正弦波进行整形得到方波,在通过积分器产生三角波。在器件使用上,可以是分立元件电路,也可以采用集成电路。 根据提供的器材和资料,选择设计由集成运算放大器和晶体管放大器构成的方波—三角波—正弦波发生电路(如下图二)。 2原理结构框图 三、Multisim仿真过程及波形输出 1元器件选择

(1)方波—三角波发生电路 (最终电路见附录) ●芯片选择:对比uA741CP与LM318N的相关参数。选择转换速度较快 的LM318N作为矩形波发生电路的芯片,uA741CP作为三角波发生电路的芯片。 ●稳压管选择:根据方波U opp =12V,方波幅度限制在-(U Z+U D)~+(U Z+U D), 故选择稳压值为U Z =6V的稳压管。查阅资料,在Multisim中选择 1N4734A单稳压管,放置为稳压对管。 ●电阻电容选择: 根据方波和三角波输出峰峰值的要求(12V、8V),R f和R1的取值应 满足R f:R1=3:2。为使电路易起振,在这里取R f=30kΩ,R1=20kΩ。 根据直流平衡电阻的计算原理,得R3=(30||20)kΩ=12kΩ。 根据方波输出幅度选择限流电阻R o=2kΩ。 同时在三角波电路中,由公式R2C=αR f /4f R1 计算得R2=5kΩ,C=0.01 μF。 根据直流平衡电阻的计算方法,得R4=R2 =5kΩ。 为达到频率的可调范围,选择R p1=100kΩ的滑动变阻器。

数字电子技术基础期末考试试卷及答案1[1]

数字电子技术基础试题(一) 填空题: (每空1数字电子技术基础试题(一) 一、分,共10分) 1.(30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为12 条、数据线为 8 条。 二、选择题:(选择一个正确的答案填入括号内,每题3分,共30分) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。

2.下列几种TTL电路中,输出端可实现线与功能的电路是(D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、D、双积分A/D转换器 7.某电路的输入波形u I 和输出波形u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

北邮数字电路与逻辑设计实验-实验报告(上)

北京邮电大学电路实验中心<数字电路与逻辑设计实验(上)> 实 验 报 告 班级: xxx 学院: xxx 实验室: xxx 审阅教师:姓名(班内序号): xxx)学号: 2xxx 实验时间: xxxx 评定成绩:

目录 实验1 Quartus II 原理图输入法设计与实现 (3) 一、实验目的 (3) 二、实验所用器材 (3) 三、实验任务要求 (3) 四、实验原理图 (3) 五、实验仿真波形图及分析 (4) 实验2 用VHDL 设计与实现组合逻辑电路 (5) 一、实验目的 (5) 二、实验所用器材 (5) 三、实验任务要求 (5) 四、VHDL代码 (5) 五、实验仿真波形图及分析 (7) 实验3 用VHDL 设计与实现时序逻辑电路 (8) 一、实验目的 (8) 二、实验所用器材 (8) 三、实验任务要求 (8) 四、模块端口说明及连接图 (8) 五、VHDL代码 (9) 六、实验仿真波形图及分析 (10) 实验4 用VHDL 设计与实现数码管动态扫描控制器 (10) 一、实验目的 (10) 二、实验所用器材 (11) 三、实验任务要求 (11) 四、模块端口说明及连接图 (11) 五、VHDL代码 (11) 六、实验仿真波形图及分析 (15) 故障及问题分析 (16) 总结和结论 (17)

实验1 Quartus II 原理图输入法设计与实现 一、实验目的 (1)熟悉用Quartus II原理图输入法进行电路设计和仿真; (2)掌握Quartus II 图形模块单元的生成与调用; (3)熟悉实验板的使用。 二、实验所用器材 (1)计算机; (2)直流稳压电源; (3)数字系统与逻辑设计实验开发板。 三、实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块单元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数+CBA,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 四、实验原理图 (1)半加器原理图 (2)全加器原理图

北京邮电大学电路实验报告-(小彩灯)

北京邮电大学电路实验报告-(小彩灯)

电子电路综合实验报告课题名称:基于运算放大器的彩灯显示电路的设计与实现 姓名:班级:学号: 一、摘要: 运用运算放大器设计一个彩灯显示电路,通过迟滞电压比较器和反向积分器构成方波—三角波发生器,三角波送入比较器与一系列直流电平比较,比较器输出端会分别输出高电平和低电平,从而顺序点亮或熄灭接在比较器输出端的发光管。 关键字: 模拟电路,高低电平,运算放大器,振荡,比较 二、设计任务要求: 利用运算放大器LM324设计一个彩灯显示电路,让排成一排的5个红色发光二极管(R1~R5)重复地依次点亮再依次熄灭(全灭→R1→R1R2→R1R2R3→R1R2R3R4→R1R2R3R4R5→R1R2R3R4→R1R2R3→R1R2→R1→全灭),同时让排成一排的6个绿色发光二极管(G1~G6)单光

三角波振荡电路可以采用如图2-28所示电路,这是一种常见的由集成运算放大器构成的方波和三角波发生器电路,图2-28中运放A1接成迟滞电压比较器,A2接成反相输入式积分器,积分器的输入电压取自迟滞电压比较器的输出,迟滞电压比较器的输入信号来自积分器的输出。假设迟滞电压比较器输出U o1初始值为高电平,该高电平经过积分器在U o2端得到线性下降的输出信号,此线性下降的信号又反馈至迟滞电压比较器的输入端,当其下降至比较器的下门限电压U th-时,比较器的输出发生跳变,由高电平跳变为低电平,该低电平经过积分器在U o2端得到线性上升的输出信号,此线性上升的信号又反馈至迟

滞电压比较器的输入端,当其上升至比较器的上门限电压U th+时,比较器的输出发生跳变,由低电平跳变为高电平,此后,不断重复上述过程,从而在迟滞电压比较器的输出端U o1得到方波信号,在反向积分器的输出端U o2得到三角波信号。假设稳压管反向击穿时的稳定电压为U Z,正向导通电压为U D,由理论分析可知,该电路方波和三角波的输出幅度分别为: 式(5)中R P2为电位器R P动头2端对地电阻,R P1为电位器1端对地的电阻。 由上述各式可知,该电路输出方波的幅度由稳压管的稳压值和正向导通电压决定,三角波的输 出幅度决定于稳压管的稳压值和正向导通电压以及反馈比R1/R f,而振荡频率与稳压管的稳压值和正向导通电压无关,因此,通过调换具有不同稳压值和正向 导通电压的稳压管可以成比例地改变方波和三角波的幅度而不改变振荡频率。 电位器的滑动比R P2/R P1和积分器的积分时间常数R2C的改变只影响振荡频率而 不影响振荡幅度,而反馈比R1/R f的改变会使振荡频率和振荡幅度同时发生变化。因此,一般用改变积分时间常数的方法进行频段的转换,用调节电位器滑动头 的位置来进行频段内的频率调节。

北邮数字电路综合实验报告——交通灯控制器的VHDL实现

数字电路综合实验报告 班级: 姓名: 班内序号: 学号: 日期:

目录 一、实验摘要 (3) 二、实验任务 (3) 1.任务要求 (3) 2.任务解析 (3) 三、实验设计思路 (4) 1.状态转移图 (4) 2.流程图 (5) 3.模块确定 (5) 4.系统框图 (7) 四、程序代码 (7) ⒈主程序 (7) ⒉分频模块 (9) ⒊防抖模块 (10) ⒋交通灯控制模块 (11) ⒌数字译码模块 (14) 五、实验结果 (15) 1.仿真结果 (15) 2.实物结果 (17) 六、所遇问题分析 (17) 七、实验总结 (18)

交通灯控制器的VHDL实现 一、实验摘要 随着交通情况的日益复杂,交通灯在生活中所处的位置也越来越高。本实验就是基于VHDL语言编程实现了十字路口的交通灯控制器。对于交通等控制器的设计是分模块自顶向下的设计思想,软硬件结合来实现本设计。 关键字:交通灯、VHDL、控制器 二、实验任务 1.任务要求 1)南北和东西方向各有一组绿、黄、红灯用于指挥交通,绿灯、黄灯和红灯的持续时间分别为20秒、5 秒和25秒; 2)当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制 器恢复原来状态,继续正常运行; 3)用两组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间; 2.任务解析 东西(A车道)和南北(B车道)方向各有一组绿、黄、红灯用于指挥交通(如图1),绿灯、黄灯和红灯的持续时间分别为20 秒、5 秒和25 秒。 图1 十字路口交通灯模型

因此,可以设计如下四个状态,其关系为: 状态 亮灯情况 车辆行驶状况 持续时 间(秒)下一状态A车道B车道 S0 红亮红亮紧急状况,A/B车道均禁止通行~ S1 S1 绿亮红亮A车道通行,B车道禁止通行20 S2 S2 黄亮红亮A车道缓行,B车道禁止通行 5 S3 S3 红亮绿亮A车道禁止通行,B车道通行20 S4 S4 红亮黄亮A车道禁止通行,B车道缓行 5 S1 三、实验设计思路 1.状态转移图 图2 状态转移图

北邮-电子电路综合设计实验(函数信号发生器)报告

电子电路综合设计实验报告 实验1 函数信号发生器的设计与实现 姓名:------ 学号:---------- 班内序号:--

一. 实验名称: 函数信号发生器的设计与调试 二.实验摘要: 采用运放组成的积分电路产生方波-三角波,可得到比较理想的方波和三角波。根据所需振荡频率的高低和对方波前后沿陡度的要求以及对所需方波、三角波的幅度可以确定合适的运放以及稳压管的型号、所需电阻的大小和电容的值。三角波-正弦波的转换是利用差分放大器来完成的,选取合适的滑动变阻器来调节三角波的幅度以及电路的对称性。同时利用隔直电容、滤波电容来改善输出正弦波的波形。 关键词: 方波三角波正弦波频率可调 三、设计任务要求 1.基本要求: (1)输出频率能在1-10KHz范围内连续可调,无明显失真; (2)方波输出电压Uopp=12V,上升、下降沿小于10us,占空比可调范围30%-70%; (3)三角波Uopp=8V; (4)正弦波Uopp错误!未找到引用源。1V. (5)设计该电路的电源电路(不要求实际搭建) 2.提高要求: (1)正弦波、三角波和方波输出波形的峰峰值Uopp均可在1V-10V内连续可调。 (2)三种输出波形的输出端口的输出阻抗小于100Ω。 (3)三种波形从同一端口输出,并能够显示当前输出信号的种类、大小和频率 (4)用CPLD设计DDS信号源 (5)其他函数信号发生器的设计方案 四、设计思路以及总体结构框图 本课题中函数发生器结构组成如下所示:由比较器和积分器组成方波—三角波产生电

路,比较器输出的方波经积分器得到三角波,三角波到正弦波的变换电路主要由差分放大器来完成。差分放大器具有工作点稳定,输入阻抗高,抗干扰能力较强等优点。特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。 图4-1 函数信号发生器的总体框图 五.分块电路和总体电路的设计 (1)方波——三角波产生电路 图5-1 方波-三角波产生电路

北邮-数电实验报告

北邮-数电实验报告

数字电路实验报告 学院:信息与通信工程 专业:信息工程 班级:2013211125 学号:2013210681 姓名:袁普

②:仿真波形图以及分析 波形图: 波形分析:通过分析ab ci三个输入在8中不同组合下的输出,发现与全加器的真值表吻合,说明实现了全加器的逻辑功能。同时看见波形中出现了毛刺(冒险),这也与事实一致。 ③:故障及问题分析 第一次在做全加器的时候发现找不到已经生成的半加器模块,后来发现是因为在建立工程时这两个项目没有建在同一个文件夹里,在调用的时候就找不到。后来我将全加器工程建在同一个文件夹里解决了此问题。

实验二:用VHDL设计和实现组合逻辑电路 一:实验要求 ①:用VHDL设计一个8421码转换为格雷码的代码转换器,仿真验证其功能。 ②:用VHDL设计一个4位二进制奇校验器,要求在为奇数个1时输出为1,偶数个1时输出为0,仿真验证其功能。 ③:用VHDL设计一个数码管译码器,仿真验证其功能,下载到实验板测试,要求用拨码开关设定输入信号,数码管显示输出信号,并且只使一个数码管有显示,其余为熄灭状态。 二:故障及问题分析 在刚开始实现让一个数码管显示的时候,我本来准备再设置6个输入和输出,通过实验板上的拨码来输入信息分别控制不同的数码管的的开闭状态,但是后来发现这样效率很低而且实验板上的拨码开关数量根本不够。在老师的提醒下,我最终在VHDL里直接增加了一个向量输出”011111”来直接控制cat0~5六个管脚,从而达到了实验的要求。

实验三:用VHDL设计和实现时序逻辑电路 一:实验要求 ①:用VHDL语言设计实现一个8421十进制计数器,要求有高电平复位功能,仿真验证其功能。 ②:用VHDL语言设计实现一个分频系数为12,输出为占空比50%方波的分频器,有高电平复位功能,仿真验证其功能。 ③:将(1),(2)和数码管译码器三个电路进行连接,仿真验证其功能,并下载到实验板进行测试,要求第三个数码管显示数字。二:报告内容 ①实验三(3)模块端口说明及模块代码 模块一:div12为一个有高电平复位功能的分频系数为12的分屏器,其输出是一个占空比50%的方波。此模块输入连接一个时钟输入,即可在输出端得到一个周期更大的方波输出。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity div12 is port( clear,clk:in std_logic; clk_out:out std_logic ); end div12; architecture struct of div12 is signal temp:integer range 0 to 5; signal clktmp:std_logic; begin process(clk,clear) begin if(clear='1') then

2016年北邮数电实验报告

数字电路与逻辑设计 实验报告 学院:电子工程学院 班级: 姓名: 学号: 班内序号:

目录 (一)实验名称及实验任务要求 (1) (二)模块端口说明及连接图 (2) 1.1实验三(3)模块端口说明 (2) 1.2实验三(3)连接图 (2) 2.1实验四模块端口说明 (2) 2.2实验四连接图 (2) (三)原理图或VHDL代码 (3) 1.实验一(2)原理图 (3) 2.实验三(3)VHDL代码 (4) 3.实验四VHDL代码 (7) (四)仿真波形 (10) 1.实验一(2)仿真波形 (10) 2.实验三(3)仿真波形 (11) 3.实验四仿真波形 (11) (五)仿真波形分析 (11) 1.实验一(2)仿真波形分析 (11) 2.实验三(3)仿真波形分析 (11) 3.实验四仿真波形分析 (11) (六)故障及问题分析 (12) (七)总结和结论 (13)

(一)实验名称及实验任务要求 实验一 名称:QuartusII原理图输入法设计与实现 实验任务要求:EDA基础实验1(1)、(2)、(3)必做,选做VHDL 实现加法器。 实验二 名称:用VHDL设计与实现组合逻辑电路 实验任务要求:四人表决器、8421码转格雷码、数码管译码器(下载测试)。 实验三 名称:用VHDL设计与实现时序逻辑电路 实验任务要求:分频器、8421十进制计数器、将分频器/8421十进制计数器/数码管译码器3个电路进行连接并下载。 实验四 名称:用VHDL设计与实现相关电路 实验任务要求:数码管动态扫描控制器、点阵扫描控制器。

(二)模块端口说明及连接图 1.1实验三(3)模块端口说明 cp:时钟信号输入; rst:8421十进制计数器异步置位; c[6...0]:七段二极管数码管显示; cat[7...0]:数码管显示。 1.2实验三(3)连接图 2.1实验四模块端口说明 cp:时钟信号输入; rst:8421计数器异步复位; lgt[6...0]:七段二极管数码管显示; cat[7...0]:数码管显示。 2.2实验四连接图

北邮通信电子电路实验指导书.pdf

通信电子电路实验指导书 电路实验中心 2016 年 4 月

目录 实验1单调谐回路谐振放大器 (2) 实验2双调谐回路谐振放大器 (8) 实验3集成乘法器幅度调制电路 (15) 实验4振幅解调器(包络检波) (23) 实验5振幅解调器(同步检波) (28) 附录高频信号发生器使用简介 (32)

实验1单调谐回路谐振放大器 —、实验准备 1.本实验时应具备的知识点 (1)放大器静态工作点 (2)LC并联谐振回路 (3)单调谐放大器幅频特性 2.本实验时所用到的仪器 (1)①号实验板《小信号调谐放大器电路》板 (2)⑤号实验板《元件库》板及库元件。 注意:元件库板与库元件一一对应,实验结束后,请对应放好,便于实验后 检查。 (3)双踪示波器(模拟) (4)电源 (5)高频信号发生器 (6)万用表 二、实验目的 1.熟悉电子元器件和高频电子线路实验系统; 2.掌握单调谐回路谐振放大器的基本工作原理; 3. 熟悉放大器静态工作点的测量方法; 4.熟悉放大器静态工作点和集电极负载对单调谐放大器幅频特性(包括电压增益、通频带、Q值)的影响; 5.掌握测量放大器幅频特性的方法。 三、实验内容 1.用万用表测量晶体管各点(对地)电压VB、VE、VC,并计算放大器静态工作点; 2.用示波器测量单调谐放大器的幅频特性; 3.用示波器观察静态工作点对单调谐放大器幅频特性的影响; 4.用示波器观察集电极负载对单调谐放大器幅频特性的影响。

四、基本原理 1.单调谐回路谐振放大器原理 小信号谐振放大器是通信接收机的前端电路,主要用于高频小信号或微弱信号的线性 放大和选频。单调谐回路谐振放大器原理电路如图1-1所示。图中,R B1、R B2、R E 用以保证晶 体管工作于放大区域,从而放大器工作于甲类。C E 是R E 的旁路电容,C B 、C C 是输入、输出耦 合电容,L 、C 是谐振回路,R C 是集电极(交流)电阻,它决定了回路Q 值、带宽。为了减轻 晶体管集电极电阻对回路Q 值的影响,采用了部分回路接入方式。 Ec Cc Rc L OUT Rb1 C Cb IN Q Rb2 Re Ce 图1-1 单调谐回路放大器原理电路

北邮数电实验报告

北京邮电大学 实验报告实验名称:数电电路与逻辑设计实验 学院:信息与通信工程学院 班级: 姓名: 学号: 班内序号: 日期:

一. 实验一:Quartus II 原理图输入法设计 1. 实验名称和实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块 元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号入信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数 F=A B C +A B C +A B C + A B C 。 2. 实验原理图及波形图 (1)半加器 (2)全加器

(3)74LS38 3.仿真波形图分析 (1)半加器: 输入为a,b,输出S,CO(进位)。 当ab都为0时,半加和s=0,进位端co=0。 当ab都为1时,半加和s=0,进位端co=1。 当a=1,b=0 或a=0,b=1时,半加和s=1,进位端co=0。 (2)全加器:

输入a,b,输出S,CO(进位),ci(低进位)。 当a=0,b=0,ci=0,输出s=0,co=0。 当a=0,b=1或a=1,b=0又 ci=0,输出s=1,co=0。 当a=0,b=0,ci=1,输出s=1,co=0。 (3)74LS138 输入A,B,C,输出为3。 四个输出对应F中的四个最小项,Y0、Y2、Y4、Y7,以实现函数功能。 二.实验二:用 VHDL 设计与实现组合逻辑电路 1.实验名称和实验任务要求 (1)用VHDL语言设计实现一个共阴极7段数码管译码器,仿真验证其功能。要求用拨码开关设定输入信号,7段数码管显示输出信号。 (2) 用VHDL语言设计实现一个8421码转换为余3码的代码转换器,仿真验证其功能。要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3) 用VHDL语言设计实现一个4位二进制奇校验器,输入奇数个’1’时,输出为’1’,否则输出’0’,仿真验证其功能。要求用拨码开关设定输入信号,发光二极管显示输出信号。 2.实验代码及波形图 (1)共阴极7段数码管译码器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY GUAN IS PORT(A:IN STD_LOGIC_VECTOR(3 DOWNTO 0); B:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); C:OUT STD_LOGIC_VECTOR(5 DOWNTO 0)); END GUAN; ARCHITECTURE encoder_arch OF GUAN IS BEGIN PROCESS(A) BEGIN C<="011111"; CASE A IS WHEN"0000"=> B<="1111110";--0 WHEN"0001"=> B<="0110000";--1 WHEN"0010"=> B<="1101101";--2 WHEN"0011"=> B<="1111001";--3 WHEN"0100"=> B<="0110011";--4 WHEN"0101"=> B<="1011011";--5 WHEN"0110"=> B<="1011111";--6 WHEN"0111"=> B<="1110000";--7 WHEN"1000"=> B<="1111111";--8 WHEN"1001"=> B<="1111011";--9

相关文档
相关文档 最新文档