文档库 最新最全的文档下载
当前位置:文档库 › 中南大学orCAD实验报告实验二 电子电路的直流、交流分析

中南大学orCAD实验报告实验二 电子电路的直流、交流分析

中南大学orCAD实验报告实验二   电子电路的直流、交流分析
中南大学orCAD实验报告实验二   电子电路的直流、交流分析

实验二电子电路的直流、交流分析

一、实验目的

1、应用计算机对电子电路进行直流和交流分析,包括基本工作点分析、灵敏度分析和直流传输特性分析。

2、掌握进行上述基本分析的设置方法,对所给的一些实际电路分别进行直流和交流分析,正确显示出各种波形图,根据形成的各种数据结果及波形图对电路特性进行正确的分析和判断。

二、实验内容

1、对左图的共射极单管放大电

路进行直流分析,做出三级管Q1

的伏安特性曲线(I c~V2),V2从0

伏到12伏,I b从40uA~160uA。

2、做出直流负载线:

(12- V(V2:+))/100

3、进行交流分析,扫描频率范

围从100Hz~100MHz

三、实验报告

1、根据计算机进行分析得到的结果,绘出共射极单管放大电路中三级管Q1的伏安特性曲线(I c~V2)及直流负载线。

V_V20V 1V 2V

3V 4V

5V 6V

7V 8V 9V 10V 11V 12V IC(Q1)(12- V(V2:+))/100-40m

40m

80m

120m

2、列出共射极单管放大电路中各节点的偏置电压、输入阻抗、输出阻抗、灵敏度分析结果及直流传输特性。

(1)直流工作点

(2)偏置电压

NODE VOLTAGE NODE VOLTAGE NODE VOLTAGE NODE VOLTAGE

( OUT) 12.0000 (N00021) 49.30E-09 (N00027) 12.0000 (N00066) 0.0000

(3)直流传输特性分析分析及输入输出阻抗

V(OUT)/V_V1 = -3.179E-11

INPUT RESISTANCE AT V_V1 = 1.682E+12

OUTPUT RESISTANCE A T V(OUT) = 1.000E+02

3、绘出三级管Q1集电极电流的交流扫描特性曲线。

8.0mA

6.0mA

4.0mA

2.0mA

0A

100Hz 1.0KHz10KHz100KHz 1.0MHz10MHz100MHz IC(Q1)

Frequency

中南大学通信原理实验报告(截图完整)

中南大学 《通信原理》实验报告 学生姓名 指导教师 学院 专业班级 完成时间

数字基带信号 1、实验名称 数字基带信号 2、实验目的 (1)了解单极性码、双极性码、归零码、不归零码等基带信号波形特点。 (2)掌握AMI、HDB 3 码的编码规则。 (3)掌握从HDB 3 码信号中提取位同步信号的方法。 (4)掌握集中插入帧同步码时分复用信号的帧结构特点。 (5)了解HDB 3 (AMI)编译码集成电路CD22103。 3、实验内容 (1)用示波器观察单极性非归零码(NRZ)、传号交替反转码(AMI)、三阶高密度双极性码 (HDB 3)、整流后的AMI码及整流后的HDB 3 码。 (2)用示波器观察从HDB 3 码中和从AMI码中提取位同步信号的电路中有关波形。 (3)用示波器观察HDB 3 、AMI译码输出波形。 4、基本原理(简写) 本实验使用数字信源模块和HDB 3 编译码模块。 1、数字信源 本模块是整个实验系统的发终端,模块内部只使用+5V电压,其原理方框图如图1-1所示,电原理图如图1-3所示(见附录)。本单元产生NRZ信号,信号码速率约为170.5KB,帧结构如图1-2所示。帧长为24位,其中首位无定义,第2位到第8位是帧同步码(7位巴克码1110010),另外16位为2路数据信号,每路8位。此NRZ信号为集中插入帧同步码时分复用信号,实验电路中数据码用红色发光二极管指示,帧同步码及无定义位用绿色发光二极管指示。发光二极管亮状态表示1码,熄状态表示0码。 本模块有以下测试点及输入输出点: ? CLK 晶振信号测试点 ? BS-OUT 信源位同步信号输出点/测试点(2个) ? FS 信源帧同步信号输出点/测试点 ? NRZ-OUT(AK) NRZ信号(绝对码)输出点/测试点(4个) 图1-1中各单元与电路板上元器件对应关系如下: ?晶振CRY:晶体;U1:反相器7404 ?分频器U2:计数器74161;U3:计数器74193;U4:计数器40160 ?并行码产生器K1、K2、K3:8位手动开关,从左到右依次与帧同步码、数

金属腐蚀与防护的实验报告中南大学粉冶院

实验一恒电位法测定阳极极化曲线 一、目的 1.了解金属活化、钝化转变过程及金属钝化在研究腐蚀与防护中的作用。 2.熟悉恒电位测定极化曲线的方法。 3.通过阳极极化曲线的测定,学会选取阳极保护的技术参数。 二、实验基本原理 测量腐蚀体系的极化曲线,实际就是测量在外加电流作用下,金属在腐蚀介质中的电极电位与外加电流密度(以下简称电密)之间的关系。 测量极化曲线的方法可以采用恒电位和恒电流两种不同方法。以电密为自变量测量极化曲线的方法叫恒电流法,以电位为自变量的测量方法叫恒电位法。 一般情况下,若电极电位是电密的单值函数时,恒电流法和恒电位法测得的结果是一致的。但是如果某种金属在阳极极化过程中,电极表面壮态发生变化,具有活化/钝化变化,那么该金属的阳极过程只能用恒电位法才能将其历程全部揭示出来,这时若采用恒电流法,则阳极过程某些部分将被掩盖,而得不到完整的阳极极化曲线。 在许多情况下,一条完整的极化曲线中与一个电密相对应可以有几个电极电位。例如,对于具有活化/钝化行为的金属在腐蚀体系中的阳极极化曲线是很典型的。由阳极极化曲线可知,在一定的电位范围内,金属存在活化区、钝化过渡区、钝化区和过钝化区,还可知金属的自腐蚀电位(稳定电位)、致钝电密、维钝电密和维钝电位范围。 用恒电流法测量时,由自腐蚀电位点开始逐渐增加电密,当达到致钝电密点时金属开始钝化,由于人为控制电密恒定,故电极电位突然增加到很正的数值(到达过钝化区),跳过钝化区,当再增加电密时,所测得的曲线在过钝化区。因此,用恒电流法测不出金属进入钝化区的真实情况,而是从活化区跃入过钝化区。 图1 恒电位极化曲线测量装置

三、实验仪器及药品 电化学工作站CHI660D、铂电极、饱和甘汞电极、碳钢、天平、量筒、烧杯、 电炉、水砂纸、U型管 蒸馏水、碳酸氢铵、浓氨水、浓硫酸、琼脂、氯化钠、氯化钾、无水乙醇、棉花 四、实验步骤 1.琼脂-饱和氯化钾盐桥的制备 烧杯中加入3g琼脂和97ml蒸馏水,使用水浴加热法将琼脂加热至完全溶解。然后加入30克KCl充分搅拌,KCl完全溶解后趁热用滴管或虹吸将此溶液加入已事先弯好的玻璃管中,静置待琼脂凝结后便可使用。 2.溶液的配制 (a) H2SO4溶液(0.5 M)的配制:烧杯内放入475 mL去离子水,加入 浓硫酸25 mL,搅拌均匀待用; (b) NH4HCO3-NH4OH溶液的配制:烧杯中放入700 mL去离子水,加 入160 g NH4HCO3,65 mL浓氨水,搅拌均匀。 (c) 饱和氯化钠溶液的配制。 3.操作步骤 (1)用水砂纸打磨工作电极表面,并用无水乙醇棉擦试干净待用。 (2)将辅助电极和研究电极放入极化池中,甘汞电极浸入饱和KCl溶液 中,用盐桥连接二者,盐桥鲁金毛细管尖端距离研究电极1~2mm左右。 按图1连接好线路并进行测量。 (3)测碳钢在H2SO4溶液和NH4HCO3-NH4OH溶液中的开路电压,稳定 5min。 (4)在-0.9 V和1.2 V (相对饱和甘汞电极:SCE),以0.05,0.01和0.005 Vs-1的扫描速度测定碳钢在H2SO4溶液和NH4HCO3-NH4OH溶液中阳极极 化曲线。 (5)存储数据,转化为TXT文本,用ORIGIN软件做图。 五、实验结果及数据处理 1.绘制碳钢在H2SO4溶液和NH4HCO3-NH4OH溶液中阳极极化曲线;

SOPC课程设计实验报告--基于 NIOS 的 μCOS-II 实验

FPGA-CPLD原理及应用课程设计报告题目:基于NIOS的μC/OS-II实验 学院:信息与电子工程学院 专业:电子科学与技术 学号: 姓名: 指导老师: 时间:2013-7-15~2013-7-20

一、摘要 本实验项目使用Quartus II、SOPC Builder和Nios II EDS从零开始构建一个能够在DE2-115实验平台上运行的μC/OS-II操作系统的Nios II系统。初学者可以借此范例熟悉Quartus II、SOPC Builder、Nios II EDS的使用,并且了解基于FPGA的嵌入式系统开发流程。 关键词:SOPC Builder Nios II DE2Nios II EDS 二、设计要求 从零开始建立一个基于Nios II的μC/OS-II应用实验系统(也可以认为是一个Nios II+μC/OS-II的应用框架)具有以下一些作用。 (1)读者可以借助SOPC Builder工具自行对Nios II软核处理器进行配置。 (2)很多范例都是纯硬件的VHDL代码,需要自行从零开始建立Nios II 系统,不能够直接使用Altera公司已经建立好的Nios II系统。 (3)DE2-115并非Altera公司原创的开发板,而是友晶科技ODM的电路板,很多外围设备都与Altera提供的电路板不一样,所以很多Altera手册中范例都无法执行,必须要有自己从硬件到软件建立系统的能力,将来才有办法将Altera 提供的范例移植到DE2-115上执行并做到最佳化。 三、设计内容” 1、SOPC Builder硬件建立 SOPC Builder是在Quartus II里的SOPC Builder进行的,先建立工程在SOPC Builder里添加硬件,包括CPU,PLL,onchip_memory,SSRAM,SDRAM Tristate Bridge,Flash,JTAG UART,UART,Timer System ID 2、Quartus II硬件处理 硬件会自动建立一个顶层模块,通过建一个原理图来对对应的硬件进行输入输出的添加,再锁定引脚,编译工程,硬件下载。 3、Nios II DE2嵌入软件编写 在Nios II里建立工程,选择相应的模块,编写需要嵌入的软件,添加缺少的头文件对应的宏定义,编译工程,进行软件下载,在观察结果。 四、设计步骤 1、打开Quartus II新建工程

中南大学电工电子课程设计实验报告

中南大学 电工电子技术课程设计报告 题目:可编程乐曲演奏器的设计 学院:信息科学与工程学院 指导老师:陈明义 专业班级: 姓名: 学号:

前言 随着科学技术发展的日新日异,电工电子技术在现代社会生产中占据着非常重要的地位,因此作为二十一世纪的自动化专业的学生而言,掌握电力电子应用技术十分重要。 电工电子课程设计的目的在于进一步巩固和加深所学电工电子基本理论知识。使学生能综合运用相关关课程的基本知识,通过本课程设计,培养我们独立思考的能力,学会和认识查阅学习我们未学会的知识,了解专业工程设计的特点、思路、以及具体的方法和步骤,掌握专业课程设计中的设计计算、软件编制,硬件设计及整体调试。设计过程中还能树立正确的设计思想和严谨的工作作风,达到提高我们的设计能力的目标。 从理论到实践,往往看似简单,实则是有很大的差距的,通过课程设计,可以培养我们学到很多东西,不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正的学到知识,从而提高自己的实际动手能力和独立思考的能力。 在次,特别感谢老师给我们以实践动手的机会,让我们对以前的知识以复习,整合,并从理论走向实践,相信我们都会在这次课程设计中学到很多!!!

目录 前言 (2) 正文 第一章系统概述 (4) 系统功能 (4) 系统结构 (4) 实验原理 (4) 整体方案 (5) 第二章单元电路的设计与分析 (5) 2.1 音频发生器的设计 (5) 2.2 节拍发生器的设计 (6) 2.3 读取存储器数据 (7) 2.4 选择存储器地址 (8) 2.5 控制音频电路设计 (8) 第三章电路的安装与调试 (9) 第四章结束语 (9) 元器件明细表 (10) 参考文献 (10) 附录 (11)

实验报告

电子科技大学电子工程学院实验报告 实验名称现代电子技术综合实验 姓名: 学号: 评分: 教师签字 电子科技大学教务处制

电子科技大学 实验报告 学生姓名:学号:指导教师:习友宝 实验地点:331 实验时间:(5—8周)周一5,6,7,8节 一、实验室名称:电子技术综合实验室 二、实验项目名称:基于单片机的多任务的控制系统的实现 三、实验学时:16 四、实验目的与任务: 1、熟悉系统设计与实现原理 2、掌握KEIL C51的基本使用方法 3、熟悉SMART SOPC实验箱的应用 4、连接电路,编程调试,实现各部分的功能 5、完成系统软件的编写与调试 五、实验器材 1、PC机一台 2、SMART SOPC实验箱一套 六、实验原理、步骤及内容 (一)试验要求(以课件要求为准) 基本要求: (1)程序运行后,在8位数码管上显示自己的班级学号(后8位),如2902002001,显示为“02002001”。 (2)定义5个按键(key1、key2、key3、key4、key5)作为功能选择键。每次按下key2时,为“秒表计时器”(定时中断实现),显示从“00.00.00.00”开始,即00时00分00秒00(1/100秒,即10ms)。当按下key1时,返回到显示

班级学号;按下其他功能键时,进入其他功能。 (3)按下key3键时,基于TLC549 A/D转换器进行电压测量(输入电压来自电位器,调节范围0~2.49V,单位:V),并将电压值显示在8位数码管的后3位。 (4)在上面(3)要求基础上,调节电位器,若输入电压超过2.00V,则声光报警,即用发光二极管指示灯(如LED1)闪烁(亮0.5s、灭0.5s);蜂鸣器响(用500Hz方波驱动);若输入电压低于2.00V后,则撤销声光报警。 扩展要求: (5)按下key4键,基于LM75A数字温度传感器,完成温度的测量,显示温度值保留到小数点后1位,整数部分最高位为零时不显示出来(高位零消影)。 (6)按下key5键,完成基于直流电机的转速测量。 (7)对电压测量值进行简单的数据处理,如去除尖峰干扰的平均滤波:每12个测量值数据为一组,去掉最大值和最小值后的10个测量值进行算术平均后,作为显示值。 (8)将班级学号、开机时间(时:分:秒)、电压值、温度值、转速等同时在LCD液晶显示屏上进行显示。 (二)实验内容 硬件设计 (原理框图)

中南大学电工技术完整答案

电工技术II习题 电工电子教学实验中心 中南大学 2011年上学期

目录 习题1——电路模型和电路定律 (1) 习题2——等效电路分析方法 (4) 习题3——支路电流法、回路电流法和结点电压法 (8) 习题4——电路基本定理 (11) 习题5——正弦稳态电路的分析 (16) 习题6——正弦电路的谐振 (22) 习题7——三相交流电路 (29) 习题8——动态电路 (32) 习题9——二端口网络 (32)

习题1——电路模型和电路定律 1-1 根据图示参考方向,判断各元件是吸收还是发出功率,其功率各为多少? 解:元件1吸收10W ;元件2吸收10W ;元件3发出10W ;元件4发出10W ; 1-2 各元件的条件如图所示。 (1)若元件A 吸收功率为10 W ,求I a ; (2)若元件B 产生功率为(-10 W),求U b ; (3)若元件C 吸收功率为(-10 W),求I c ;(4)求元件D 吸收的功率。 解:I a =-1A; U b =-10V; I c =-1A; P =-4mW. 1-3某直流电源的额定功率为P N =200W ,额定电压为U N =50V ,内阻R 0=0.5Ω,负载电阻R 可以调节,如图所示,试求: (1) 额定状态下的电流及负载电阻; (2) 空载状态下的电压; (3) 短路状态下的电流。 解:(1) P N =U N ×I N ----> I N =4A; E= U N + R o ×I N I N =E /(R o +R ) (2) U =E= U N + R o ×I N (3) I st =E /R 0 1-4 某有源支路接在U =230V 得电路中的电流I =10安培。求: (1)该有源支路的电动势E ; (2 解:(1)E= U + R o ×I =235V (2)P =U ×I >0, 输送 1-5 (1)求图 (a)(2)求图 (b)解:(a )U 1=3×4 =12V ,受控电压源的端电压×24 =72W (b )I 2=0.5A ,受控电流源的电流6I 2=3A ,P 吸=5×3 =15W 1-6 求图示各电路中的U ab ,设端口a 、b 均为开路。 解:(a )U ab =-2+4=2V (b )U ab =-1+8=7V (c )i =5/20 =0.25 A U ab =3i +10i =3.25V (d )U ab =-3+5×1=2V E E

中南大学机械基础实验报告机类

机械基础实验报告 (机械类) 中南大学机械基础实验教学中心 2011年8月 目录 训练一机构运动简图测绘 (1) 实验二动平衡实验 (3) 实验三速度波动调节实验 (4) 实验四机构创意组合实验 (5) 实验五平面机构创新设计及运动测试分析实验 (6) 实验六螺栓联接静动态实验 (7) 实验七螺旋传动效率实验 (8) 实验八带传动实验 (9) 实验九液体动压轴承实验 (10) 实验十机械传动性能综合测试实验 (12) 实验十一滚动轴承综合性能测试分析实验 (13) 实验十二机械传动设计及多轴搭接实验 (14) 实验十三减速器拆装实验 (15)

训练一机构运动简图测绘 专业班级第组姓名成绩 1.一个正确的“机构运动简图”应能说明哪些内容?绘制机构运动简图的基本步骤是什么? 2.机构自由度与原动件的数目各为多少?当机构自由度=原动件的数目,机构的

运动是否确定? 五.收获与建议

实验二动平衡实验 专业班级第组姓名成绩一、实验目的: 二?设备名称: 三?实验数据 实验转速: 四.思考题: 转子动平衡为什么要在左右两个平面上进行平衡?

实验三速度波动调节实验专业班级第组姓名成绩一?实验目的: 二?设备名称: 三?实验数据 1?当转速不变时,采用不同的飞轮,数据记录: 结论:当转速不变时,飞轮转动惯量越大,则机构的速度波动越二?当飞轮不变时,转速变化,数据记录: 结论:当飞轮不变时,转速越大,则机构的速度波动越

实验四机构创意组合实验 专业班级第组姓名成绩 一、机构运动简图(要求符号规范标注参数) 二、机构的设计方案图(复印件) 三、机构有____________个活动构件?有______个低副,其中转动副_______个, 移动副__________个,有____________复合铰链,在_________处?有________处?有__________个虚约束,在__________处? 四、机构自由度数目为F=3n-2PL-PH=3X-2X-0= 五、机构有_________个原动件 在___________处用__________驱动,在__________处用___________驱动? 六、针对原设计要求,按照实验结果简述机构的有关杆件是否运动到位?曲柄是 否存在?是否实现急回特性?最小传动角数值?是否有“卡住”现象?(原无要求的项目可以不作涉及) 七、指出在机构中自己有所创新之处? 八、指出机构的设计存在的不足之处,简述进一步改进的设想?

中南大学制造系统自动化技术实验报告整理

制造系统自动化技术 实验报告 学院:机电工程学院 班级:机制**** 姓名:张** 学号: *********** 指导教师:李** 时间: 2018-11-12 实验一柔性自动化制造系统运行实验 1.实验目的 (1)通过操作MES终端软件,实现对柔性制造系统的任务下达和控制加工,让学生

了解智能制造的特征及优势。 (2)通过创意性的实验让学生了解自动化系统总体方案的构思。 (3)通过总体方案的构思让学生了解该系统的工作原理,并学会绘制控制系统流程图,掌握物料流、信息流、能量流的流动路径。 (4)通过总体方案的构思让学生掌握各机械零部件、传感器、控制元器件的工作原理及性能。 (5)通过实验系统运行让学生了解运行的可靠性、安全性是采用何种元器件来实现的,促进学生进行深层次的思考和实践。 2.实验内容 (1)仔细观察柔性自动化制造系统的实现,了解柔性自动化制造系统的各个模块,熟悉各个模块的机械结构。 (2)了解各种典型传动机构的组装、工作原理、以及如何实现运动方向和速度的改变; (3)学习多种传感器的工作原理、性能和使用方法; (4)了解典型驱动装置的工作原理、驱动方式和性能; (5)理解柔性制造系统的工作原理,完成柔性制造系统的设计、组装; (6)实现对柔性制造系统的控制与检测,完成工件抓取、传输和加工。

3.实验步骤 (1)柔性制造系统的总体方案设计; (2)进行检测单元的设计; (3)进行控制系统的设计; (4)上下料机构的组装与检测控制; (5)物料传输机构的组装与实现; (6)柔性制造系统各组成模块的连接与控制; (7)柔性制造系统各组成单元的状态与工件状态位置的检测; (8)对机器人手动操作,实现对工件的抓取、传输。 4. 实验报告 ①该柔性自动化制造系统由哪几个主要的部分组成; 主要由:总控室工作站、AGV小车输送物料机构、安川机器人上下料工作站、法那科机器人上下料工作站、ABB机器人组装工作站、视觉检测及传送工作站、激光打标工作站、堆垛机及立体仓储工作站。 ②画出该柔性自动化制造系统的物料传输系统结构简图;

指纹实验报告

中央民族大学生命与环境科学学院 遗传学实验报告 人类指纹的采集识别与分析 2014年11月9日 人类指纹的采集识别与分析 前言 遗传学研究中根据遗传性状的表现特征将其分为两类,即数量性状(quantitative character)和质量性状(qualitative character)。质量性状通常差异显著,呈不连续变异, 由主基因决定,杂交子代的表型呈现出一定的比例,可直接采用孟德尔遗传原理进行分析。 数量性状不同于质量性状,数量性状是可以度量的性状,呈连续变异,由多基因决定,各基 因作用微小并且是累加的,呈剂量效应,因此通常要采用统计学方法分析。指纹性状就是属 于数量形状。 1880年henry fauld及william herschel相继提出利用指纹鉴定个人身份的 设想。 galton研究了有血缘关系的人群的指纹证明了指纹花样对人来说是一个稳定的性状。 1924 年挪威女科学家bonnevie提出指嵴数计数法。指纹在胚胎发育第13周开始形成,第 19周完成。因此如有某种遗传或生理因素造成嵴纹发育不良既能在指纹上反映出来。本实 验中,同学采用石墨粉填充沟纹再用透明胶粘手指的方法取自己的指纹,并利用这些指纹进 行指嵴数计数、分析,从而对多基因遗传的特点有了更深刻地认识。 1. 材料和方法&设备和方法 2b铅笔一只;约20cm×10cm的复印纸一张;透明胶带;直尺一把个人电脑及adobe photoshop软件;拍照设备一台。 2. 实验原理 1.人类指纹的形成:指纹是指人手上的条状纹路,它们的形成依赖于胚胎发育时的环境 和遗传因素。指纹属于多基因遗传,在胚胎第12~13周(也有人提出15~16周)即已形成并 保持终生不变。每个人的指纹都是独一无二的,两人之间甚至双胞胎之间,不存在相同的手 指指纹。拥有相同指纹的可能性在10亿分之一以下。因此指纹被称做是无法伪造的身份证。 对一个个体而言,指纹具有唯一性和稳定性。 2.肤(皮纹)与指纹皮纹包括指纹、掌纹和褶纹。指纹为最常用的皮纹。大量研究表明, 某些遗传病,特别是一些染色体病和先天畸形常伴有特殊的皮纹异常。所以皮纹检查可以 作为某些遗传病诊断的辅助指标。 3.指纹分析的常用指标—— a.类型——3类:弓(a) ,箕(l),斗(w) ,6亚类:as ,at ; lu ,lr ; ws,wd ; b.总嵴纹数——trc (tfrc ,指纹总嵴线数 c.atd角 d.指纹强度指数(pattern intensity index, pid )——pid = (2 w +l)/n = (2 w +l) /10 (w 是斗型纹的百分率,l是箕型纹的百分率,n 是常数(10个手指).) 4.类型分类 a.弓形纹:由几条平行的弧形嵴纹组成。纹线由指的一侧延伸到另一侧,中间隆起成弓 形。弓形纹又可分为两种,一种是中间隆起较平缓的弧形弓,另一种是中央隆起很高的帐形 弓。 b.箕形纹:这种纹有两个特征,①有几条嵴纹从手指一侧发出,向指尖方向弯曲,再折 回发出的一侧,形成一种簸箕状的纹线;②有一个由三组纹线形成的三叉点或称三角区 (delta)。根据箕口的开口方向分为尺箕(或正箕,开口朝本手尺骨一侧,即小指方向)和 桡箕(或反箕,开口朝着桡骨一侧,即拇指方向)。 c.斗形纹(又称螺纹或涡形纹):它有 两个特征,①有两个三叉点(如果你在一个指纹上找到三个或三个以上的三叉点,那可能是 杂形纹);②由几条环形线或螺形线的嵴纹绕着中心点形成一个回路,或者有形成回路的趋

中南大学液压传动试验报告书

液压传动与控制实验指导书 2018.9 实验一液压流体力学实验 实验二液压传动基础实验 实验三液压系统节流调速和差动回路实验 实验一液压流体力学实验 液压流体力学实验实验设备: 实验台参数: 潜水泵:型号HQB-2500;最大扬程:2.5m;最大流量:2000L/h; 额定功率:55W;电源:单相~220V。 恒压水箱:长×宽×高=280×420×400; 实验管A:管径Φ14,长约1.2 (m),沿程损失计算长度L=0.85 (m); 雷诺数实验水位:H=250~280(可调); 实验管B:小管内径Φ13.6,大管内径Φ20.2,轴线高度差140,总长约1.2 (m); 伯努利方程实验水位:H=370(可调); 实验台总尺寸:长×宽×高=1730×540×1470。 实验管道中液流循环如下(见图1) : ⑴.实验台由泵7供水到恒压水箱22,水箱内液体分别由实验管A(雷诺实验)和实验管B(伯努利方程实验)流入辅助水箱14,再返回到供水水箱8中循环使用。 ⑵.雷诺实验:颜色水容器1的颜色水径调节阀2调节,进入实验管A,随A管内的流动水一起运动,显示有色的流线;经节流阀9流出的微染色水,在辅助水箱14中与消色剂储器注入的消色剂混合,使有色水变清。 ⑶.实验中基准水平面的选取。 用本实验装置做以上各项实验时,其基准水平面一律选择为工作台面板的上平面。 ⑷.本实验指导书中各项实验所涉及的运算,均采用国际单位制。

1 雷诺实验 雷诺数是区别流体流动状态的无量纲数。对圆管流动,其下临界雷诺数 Re为2300 ~ c 2320。小于该临界雷诺数的流体为层流流动状态,大于该临界雷诺数则为紊流流动状态。工程上,在计算流体流动损失时,不同的Re范围,采用不同的计算公式。因此观察流体流动的流态,测定临界雷诺数,是《流体力学》课程实验的重要内容。 (一)、实验目的要求: ①.观察层流、紊流的流态及其转换特性; ②.测定临界雷诺数,掌握圆管流态判别准则;

中南大学机械制造工艺学实验报告

机械制造工艺学实验报告 班级机械1301 姓名黄佳清 学号 07

中南大学机电学院 《机械制造工艺学》课程实验报告 实验名称:加工误差的统计分析 姓名:黄佳清班级:机械1301 学号: 07 实验日期: 2015 年 10 月 18 日指导教师:成绩: 1. 实验目的 (1)掌握加工误差统计分析方法的基本原理和应用。 (2)掌握样本数据的采集与处理方法,要求:能正确地采集样本数据,并能通过对样本 数据的处理,正确绘制出加工误差的实验分布曲线和图。 (3)能对实验分布曲线和图进行正确地分析,对加工误差的性质、工序能力及工艺 稳定性做出准确的鉴别。 (4)培养对加工误差进行综合分析的能力。 2. 实验内容与实验步骤

1.按加工顺序测量工件的加工尺寸,记录测量结果。 2.绘制直方图和分布曲线 1)找出这批工件加工尺寸数据的最大值x max和最小值x min,按下式计算出极差R。 R=x max一x min 2)确定分组数K(K一般根据样本容量来选择,建议可选在8~11之间)。 3)按下式计算组距 d。 4)确定组界(测量单位:微米)。 5)做频数分布表。 6)计算x和 。 7)画直方图 以样本数据值为横坐标,标出各组组界;以各组频率密度为纵坐标,画出直方图。 8)画分布曲线 若工艺过程稳定,则误差分布曲线接近正态分布曲线;若工艺过程不稳定,则应根据实际情况确定其分布曲线。画出分布曲线,注意使分布曲线与直方图协调一致。 9)画公差带 在横轴下方画出公差带,以便与分布曲线相比较。 3.绘制图 1)确定样组容量,对样本进行分组

样组容量m 通常取4或5件。按样组容量和加工时间顺序,将样本划分成若干个样组。 2)计算各样组的平均值和极差 对于第i 个样组,其平均值和极差计算公式为: ∑==m j ij i x m x 1 1 式中 ——第i 个样组的平均值; ——第i 个样组的标准差; ——第i 个样组第j 个零件的测量值; ——第i 个样组数据的最大值; ——第i 个样组数据的最小值 3)计算图控制限(计算公式见实验原理) 4)绘制 图 以样组序号为横坐标,分别以各样组的平均值和极差R 为纵坐标,画出图,并在图上标出中心线和上、下控制限。 4. 按下式计算工序能力系数Cp 5. 判别工艺过程稳定性 可按下表所列标准进行判别。注意,同时满足表中左列3个条件,工艺过程稳定;表中右列条件之一不满足,即表示工艺过程不稳定。

SOPC实验报告

SOPC系统设计技术实验报告 姓名: 学号: 院系:信息科学与工程学院 专业:电子科学与技术 指导老师: 完成日期:2015年04月25日

实验二、NIOSII实现串口收发数据及LCD显示 一、实验目的 (1)进一步熟悉Quartus II、SOPC Builder、NIOS II IDE的操作; (2)掌握SOPC硬件系统及NIOS II软件的开发流程。 二、实验内容 (1)、实验平台:硬件:PC级、SmartSOPC+教学实验开发平台;软件:Quartus II 9.0,SOPC Builder 9.0,NIOS II IDE 9.0。 (2)、实验内容:建立包含SDRAM、JTAG_UART、Timer、LCD的NIOS II处理器系统,通过JTAG_UART从IDE的控制端窗口读取输入值N,计算1至N的累加值,并将计算结果及计算花费时间的显示在LCD中。 三、实验步骤 3.1硬件设计 根据实验内容,可以得出本次实验的硬件结构图如图3.1所示: 图3.1 硬件设计结构图

具体硬件设计步骤如下: 1)、在Quartus II中建立一个工程命名为:smallCore,器件设置为EP3C55F484C8; 2)、以原理图输入方式建立空白顶层模块,并保持; 3)、打开SOPC Builder,命名SOPC系统名称为nios2system,开始建立NIOS II系统。 4)、双击SOPC Builder主界面左侧中的“Nios II Processor”,出现Nios II CPU的配置向导对话框,如图1.4所示,在这里可以有三种Nios II CPU选择,我们选择快速型的Nios II/f,不使用硬件乘法器及除法器。然后单击Next进入下一步配置;Instruction Cache项中选择2 Kbytes,在Data Cache项中选择512 Bytes,单击Next进行下一步配置;在“Advanced Features”和“MMU and MPU Settings”选项卡中选择默认参数,然后单击Next,到了“JTAG Debug Module”选项卡,如图1.6所示。这里是选择JTAG调试接口,选择默认的模式Level 1,然后单击Next,到了“Custom Instruction”选项卡,也选择默认参数,最后单击Finish完成对Nios II CPU的配置。 5)、添加了Nios II CPU内核后,选中Module Name下的cpu_0,单击鼠标右键,在Rename 项中可以重命名cpu_0的名称为cpu,并在“Clock Settings”一栏中将clk_0名称改为clk。 6)、双击在SOPC Builder主界面左侧中的Bridges and Adapters→Memory Mapped→Avalon-MM Clock Crossing Bridge,出现Clock Crossing Bridge的配置向导对话框,在“Slave-to-Master FIFO”中的FIFO depth中选择64。单击“finish”退出配置对话框,并重命名clock_crossing_0的名称为clock_crossing。 7)、双击在SOPC Builder主界面左侧中的Memories and Memory Controllers→SDRAM→DDR SDRAM High Performance Controller,出现DDR SDRAM High Performance Controller的配置向导对话框。修改“General Settings”选项卡的参数配置,参数修改如下:Speed grade:8 PLL reference clock frequency:85 Memory clock frequency:100 Local interface clock frequency:full 修改“Modify Parameters”:DDR SDRAM控制器参数,参数修改如下: Total Memory interface DQ width:16 Memory vendor:other

【学号显示实验报告】 EDA sopc

成绩指导教师日期 张歆奕2013-5-26 五邑大学实验报告 实验课程名称: 电子系统EDA 院系名称:信息学院 专业名称:电子信息工程 实验项目名称:学号显示 班级: AP10352 学号: 报告人:

实验一:学号显示器 一、实验目的 1、练习使用Verilog HDL语言设计实现数字电路。 2、练习利用Verilog HDL语言和状态机设计电路。 3、熟悉EDA开发基本流程。 4、掌握多个数码管动态扫描显示的原理及设计方法。 二、实验原理 用数码管除了可以显示0~9的阿拉伯数字外,还可以显示一些英语字母。数码管由7段显示输出,利用7个位的组合输出,就可以形成部分英语字母和0~9十个数字的显示。共阴数码管0~9和常见字母的7段显示关系如表4-1所示 (共阳数码管对共阴取反)。

三、设计任务 设计任务(一) 1、用Verilog HDL设计单个数码管顺序显示学号(按一次按键,显示下一位学号字符); 2、对设计进行仿真; 3、锁定管脚并下载到开发板进行验证。 设计任务(二) 1、用Verilog HDL设计八个数码管显示学号,并向左或者向右滚屏; 2、对设计进行仿真; 3、锁定管脚并下载到开发板进行验证。 四、设计源程序和说明 设计任务(一)学号显示源程序 module xuehao_display(clk,rst,out); input clk,rst; output reg[6:0] out; reg[3:0] state; parameter s0=4'd0,s1=4'd1,s2=4'd2,s3=4'd3,s4=4'd4,s5=4'd5,s6=4'd6,s7=4'd7,s8=4'd8; always@(posedge clk or negedge rst) begin if(!rst) begin out =7'b1111111;state=s0;end else case(state) s0:begin out=7'b0001000;state<=s1;end//显示A s1:begin out=7'b0011000;state<=s2;end//显示P s2:begin out=7'b1001111;state<=s3;end//显示1 s3:begin out=7'b0000001;state<=s4;end//显示0 s4:begin out=7'b0000110;state<=s5;end//显示3 s5:begin out=7'b0100100;state<=s6;end//显示5 s6:begin out=7'b0010010;state<=s7;end//显示2 s7:begin out=7'b1001111;state<=s8;end//显示1 s8:begin out=7'b0000110;state<=s1;end//显示3 default:state<=0; endcase end endmodule 程序详解:这是一个非常简单的程序,定义8个输出变量,然后给他们赋值,使他们分别为A P 1 0 3 5 2 1 3,然后通过数码管显示出来。 设计任务(二)滚屏显示源程序

中南大学电工电子实习报告

本页面为作品封面,下载文档后可自由编辑删除! 实 习 报 告 单位: 姓名: 时间: 中南大学电工电子实习报告

中南大学电工电子实习报告(一) 一、实习内容: (1)学习识别简单的电子元件与电子线路; (2)学习并掌握收音机的工作原理; (3)按照图纸焊接元件,组装一台收音机,并掌握其调试方法。 二、实习器材介绍: (1)电烙铁:由于焊接的元件多,所以使用的是外热式电烙铁,功率为30w,烙铁头是铜制。 (2)螺丝刀、镊子等必备工具。 (3)松香和锡,由于锡它的熔点低,焊接时,焊锡能迅速散步在金属表面焊接牢固,焊点光亮美观。 (4)两节5号电池。

三、实习目的: 电子技术实习的主要目的就是培养我们的动手能力,同金工实习的意义是一样的,金工实习要求我们都日常的机械车床,劳动工具能够熟练使用,能够自己动手做出一个像样的东西来。而电子技术实习就要我们对电子元器件识别,相应工具的操作,相关仪器的使用,电子设备制作、装调的全过程,掌握查找及排除电子电路故障的常用方法有个更加详实的体验,不能在面对这样的东西时还像以前那样一筹莫展。有助于我们对理论知识的理解,帮助我们学习专业知识。使我们对电子元件及收音机的装机与调试有一定的感性和理性认识,打好日后深入学习电子技术基础。同时实习使我获得了收音机的实际生产知识和装配技能,培养理论联系实际的能力,提高分析问题和解决问题的能力,增强独立工作的能力。同时也培养同学之间的团队合作、共同探讨、共同前进的精神。 具体目的如下: 熟悉手工焊锡的常用工具的使用及其维护与修理。 基本掌握手工电烙铁的焊接技术,能够独立的完成简单电子产品的安装与焊接。熟悉电子产品的安装工艺的生产流程。 熟悉印制电路板设计的步骤和方法,熟悉手工制作印制电板的工艺流程,能够根据电路原理图,元器件实物设计并制作印制电路板。

中南大学x射线实验报告参考

中南大学 X射线衍射实验报告 学院专业班级 姓名学号同组者 月日指导教师 实验 日期 评分分评阅人评阅日期 实验目的 1)掌握X射线衍射仪的工作原理、操作方法; 2)掌握X射线衍射实验的样品制备方法; 3)学会X射线衍射实验方法、实验参数设臵,独立完成一个衍射实验测试; 4)学会MDI Jade 6的基本操作方法; 5)学会物相定性分析的原理和利用Jade进行物相鉴定的方法; 6)学会物相定量分析的原理和利用Jade进行物相定量的方法。 本实验由衍射仪操作、物相定性分析、物相定量分析三个独立的实验组成,实验报告包含以上三个实验内容。 一、实验原理 1、X射线衍射仪 (1)X射线管 X射线管工作时阴极接负高压,阳极接地。灯丝附近装有控制栅,使灯丝发出的热电子在电场的作用下聚焦轰击到靶面上。阳极靶面上受电子束轰击的焦点便成为X射线源,向四周发射X射线。在阳极一端的金属管壁上一般开有四个射线出射窗口。转靶X射线管采用机械泵+分子泵二级真空泵系统保持管内真空度,

阳极以极快的速度转动,使电子轰击面不断改变,即不断改变发热点,从而达到提高功率的目的 (2)测角仪系统 测角仪圆中心是样品台,样品台可以绕中心轴转动,平板状粉末多晶样品安放在样品台上,样品台可围绕垂直于图面的中心轴旋转;测角仪圆周上安装有X 射线辐射探测器,探测器亦可以绕中心轴线转动;工作时,一般情况下试样台与探测器保持固定的转动关系(即θ-2θ连动),在特殊情况下也可分别转动;有的仪器中样品台不动,而X 射线发生器与探测器连动。 (3)衍射光路 2、物相定性分析 1) 每一物相具有其特有的特征衍射谱,没有任何两种物相的衍射谱是完全相同 的 2) 记录已知物相的衍射谱,并保存为PDF 文件 3) 从PDF 文件中检索出与样品衍射谱完全相同的物相 4) 多相样品的衍射谱是其中各相的衍射谱的简单叠加,互不干扰,检索程序能 从PDF 文件中检索出全部物相 3、物相定量分析 物相定量分析——绝热法 在一个含有N 个物相的多相体系中,每一个相的RIR 值(参比强度)均为已知的情况下,测量出每一个相的衍射强度,可计算出其中所有相的质量分数: 其中某相X 的质量分数可表示为: ∑ == N A i i A i X A X X K I K I W 式中A 表示N 个相中被选定为内标相的物相名称 式中A O Al X O Al X A K K K 3 232= 右边是两个物相X 和A 的RIR 值,可以通过实测、计算或查找PDF 卡片获得。 样品中只含有两相A 和B ,并选定A 为内标物相,则有:

离子交换树脂实验报告

中南大学化学化工学院化工专业实验 T11.离子交换实验(分离工程,指导教师:蒋崇文) 一、实验目的与要求 1. 学习采用离子交换树脂分离柠檬酸的基本原理。 2. 掌握离子交换法的基本操作技术。 3. 掌握离子交换法穿透曲线的测定方法 二、实验原理 待分离组分柠檬酸(HA表示)的溶液,在与强碱性树脂(HOR表示)进行离子交换时,3交换组分之间遵守如下化学计量关系: HA?3HOR?3AR?3HO233离子交换柱操作过程,可用流出曲线表征,称为穿透曲线,图11-1示。横坐标为流出液体的体积,纵坐标为流出液中离子浓度。流出曲线反映了恒定流速时,不同时刻流出液中离子浓度的变化规律。流出曲线中的a和b段,离子交换树脂未饱和,流出液中不含被交换离子,随着离子交换树脂开始饱和,流出液中开始出现被交换离子,流出液浓度为0.05C 时0称为穿透点c,流出曲线中的d段,离子交换树脂进一步被饱和,流出液中被交换离子继续增加,流出曲线到达e点时,树脂被完全饱和,流出液中离子浓度达到进料液中水平0.95C0成为饱和点。此时流出的体积为饱和体积。离子交换的实验装置图11-2示。 离子交换的穿透曲线11.1图 中南大学化学化工学院化工专业实验

原料热水出阴离子交换树热水进图4-2 离子交换实验装置图11.2 离子交换的装置图 三、试剂与材料 强碱型树脂,2mol/L盐酸溶液;2mol/L氢氧化钠溶液,0.1mol/L氢氧化钠溶液,1%酚酞指示剂。 四、器材 50cm×1cm交换柱,碱式滴定管,收集试管,烧杯,150ml锥形瓶。 五、实验步骤 1. 树脂的处理 将干的强碱型树脂用蒸馏水浸泡过夜,使之充分溶胀。用2倍体积的2mol/L的氢氧化钠浸泡1小时,倾去清液,洗至中性。再用2mol/L的盐酸处理,做法同上。如此重复2次,每次酸碱用量为树脂体积的2倍。最后一次处理用2mol/L的NaOH溶液进行,放尽碱液,用清水淋洗至中性待用。 2. 装柱 取直径1cm,长度50cm的交换柱,用脱脂棉塞住玻璃柱的下部。将柱垂直置于铁架上。自顶部注入上述经处理的树脂悬浮液,关闭层析柱出口,待树脂沉降后,放出过量溶液,再加入一些树脂,至树脂沉降至25cm的高度。 3.柠檬酸水溶液的滴定 用配置好的0.2mol/L的NaOH溶液滴定2ml配置好的柠檬酸水溶液中酸的浓度,以1%酚酞溶液作指示剂,共消耗NaOH溶液22.12ml。 4.柠檬酸的离子交换 用步骤3中的柠檬酸水溶液过柱,调节流速为0.5~1mL/min(1滴/秒),同时用试管开始滴定收集液中酸的NaOH标准0.1mol/L管。用15~20,共收集约5ml收集流出液,每管收集. 中南大学化学化工学院化工专业实验 浓度。用收集液酸浓度C对收集流出液体积V作图,得到柠檬酸离子交换的穿透曲线。 六、数据处理 C=0.2mol/L 柠檬酸的浓度约0.35mol/L NaOH 表11.1 柠檬酸水溶液的滴定数据:

流水灯实验报告

实验二流水灯 实验时间:2011-11-20 实验地点:物理楼406 一、实验目的 通过此实验进一步了解,熟悉FPGA开发软件的使用方法及Verilog.HDL,的编程方法;学习简单时序电路的设计。 二、实验原理和内容 实验内容:在实验板上实现LED1~LED8发光二极管流水灯显示 实验原理:在LED1~LED8引脚上周期性地输出流水数据,如原来输出的数据是11111100则表示点亮LED1,LED2,流水一次后,输出数据应该为11111000,而此时则应点亮LED1~LED3三个LED发光二极管,这样就可以实现LED流水灯,为了观察方便,流水速率最好在2Hz左右。在QuickSOPC核心板上有-48MHz的标准时钟源,该时钟脉冲CLOCK 与芯片的28脚相连,为了产生2Hz的时钟脉冲,在此调用了一个分频模块int_div模块,通过修改分频系数来改变输出频率,int-div模块是一个占空比为50%的任意整数分频器。 三、实验步骤 1,启动QuartusⅡ建立一个空白工程,然后命名为led-water.qpf。 2,新建Verilog HDL源程序文件ledwater.v,输入程序代码并保存,然后进行综合编译。若在编译过程中发现错误,则找出并更正错误,直至编译成功为止。 3,从设计文件创建模块,由ledwater.v生成名为ledwater.bsf的模块符号文件。 4,将光盘中EDA-Component目录下的int-div.bsf和int-div.v拷贝到工程目录。 5,新建图形设计文件命名为led-water.bdf并保存。在空白处双击鼠标左键,在Symbol对话框左上角的libraries.v中,分别将project下的ledwater和int-div模块放在图形文件led-water.bdf中,加入输入,输出引脚,双击各引脚符号进行引脚命名。将与ledwater模块led[7..0]连接的引脚命名为led[7..0],与int-div模块clock连接的引脚命名为clock,int-div模块的clk-out与ledwater模块的clk相连接。 6,选择目标器件并对相应的引脚进行锁定,在这里所选择的器件为Altera公司Cyclone系列的EPIC12Q240C8芯片,引脚锁定方法见下表,将未使用的引脚设置为三态输入。

相关文档
相关文档 最新文档