文档库 最新最全的文档下载
当前位置:文档库 › 东南大学信息学院 poc实验报告

东南大学信息学院 poc实验报告

东南大学信息学院 poc实验报告
东南大学信息学院 poc实验报告

ComputerOrganization andArchitecture

COURSEDESIGN

A Parallel Output Controller

------ (POC)

Southeast university

School of Information Science and Engineering

1.Designpurpose

a.Thepurpose of thisproject isto design and simulate aparallel output controller(POC)which acts an

interface between systembus and printer. The ISE 14.7EDAtool isrecommended and provided for simulation.

b.Learnaboutthe using of Bi-directionalData Bus (BDB), anduse a parallel Bi-directional Data Bus to finishthe data transmission betweenCPU and POC.

2.IntroductionandTasks

POC is one ofthe most commonI/Omodules,namely the paralleloutputcontroller.It plays the roleof an interface between the computer systembus and the peripheral

Figure1.Systemstructurediagram

As Fig.1 shows theinnerconnecting of aprinter to the systembus through thePOC. Thecom- munication between POC and t he printer iscontrolledby a “handsh a ke”proto colgiven in Fig.2.

Figure2. Thehandshake-timing diagrambetween POCand theprinter

Thehandshakingprocessisdescribedasfollows:Whentheprinterisreadytoreceiveachar- acter,itholdsRDY=1.ThePOCmustthenholdacharacteratPD(paralleldata)portandproduce apulseattheterminalTR(transferrequest).TheprinterwillchangeRDYto0,takethecharacter

atPDandholdRDYat0untilthecharacterhasbeenprinted(e.g.delay5or10ms),thensetRDY to 1 again when it isready to receive the next character.

The buffer register BR is used to temporarily hold a character sent fromthe processor, which char- acter willbe transferred to the printerlater.

The status register SRis used for twocontrol functions:

①SR7servesasareadyflagtoindicatePOCisreadyornottoreceiveanewcharacterfromthe processor.

②SR0 is used to enable the interruptrequests sent by POC.

Ininterruptmode,If SR0=1,thenPOCwillsendaninterruptrequestsignaltoprocessorwhenitis ready to receive a character(i.e., when SR7=1).

IfSR0=0, then POC willnot interrupt.

The transferofa character to POC via the systembus proceeds asfollows:

In interrupt mode, SR0 isalways 1.

After sending character to printer, POC sets the SR7 to 1, since SR0=1, the interrupt request signal (IRQ) is set to 0, which indicate an effective interrupt signal to the processor.

1、processorsetsthevalueofSR7&setsthe valueofBR

①WhentheprocessordetectstheeffectiveIRQsignal,theprocessordirectlyselectsBRandwrites acharacterintoBR,(processorwillneverreadthestateofSR7, whichisdifferentwithpollingmode.)

②ThentheprocessorsetstheSR7to0,whichindicatesthatthenewcharacterhasbeenwritteninto

BR andnot printed yet.

2、POCreadsandsetsthevalueofSR7&handshakesoperations withthe printer

①WhenPOCdetectsthatSR7issetto0,POCthenproceedstostartthehandshakingoperations with theprinter.

②Aftersendingcharactertoprinter,POCsetstheSR7to1,whichindicatesPOCisreadytoreceive anothercharacterfromtheprocessor.Thetransfercyclecannowrepeat.(①and ②aresamewith the polling state)

PS:DuringthehandshakingoperationsbetweenPOCandprinter,theprocessordoesnottryto access POC until it receivesthe interrupt request signal

3.TheoverallconnectionofthesimulatedprinterandPOCexpressedinth etopmoduleform

Figure3.Thetop module formof the project

4.Designdescriptionofthesimulationinputwaveforms

5.Simulationresults

Connection between cpu and poc

Connection between poc and printer

Here are the explanationsof the simulation wave:

interruptmode:

1、In the interrupt mode,mode is always set1, the print processoccuresby the IRQ signal frompoc.

2、WhenS(7)=0,IRA send…0?to cpu, it meansthere is aprint requirement andcpubegin to handle it.

3、Intheinterrupt process RW and A0are singals fromcpu to poc tocontrolthe action ofpoc. RW=?1?and A0=?1?w ritedata fromcpu(D) to poc(BR), means the begin of the interrupt process.

RW=?x?and A0=?x?means there is no interrupt requirement .

4、AftersendingdatastoBRandsetsrto“00000000”, if RDY=?1?,pocgi veaimpulseinTRtomaketheprinter begintowork. AftertheTRsignalwecanseethattheinputRDYsignalfromtheprinterchange from 1 to0, whichshowsthattheTRsignalreallymaketheprinterwork.

5、After data of BR has been transmitted into printer,poc setSR to “10000001”it self to indicate that it comes to ready and can get the next print task.

6、Let data plus 1 to indicatethe next new print cycle.

6. Conclusion and Discussions

1、As a parallel output controller ,poc module to act as an interface between cpu and printer. Form the simulation wave, we can see that my program meets the designs requirements.

2、I divide the system into three parts, and one top entity. And I use two way to finish

the top entity. One is write program with vhdl language and another is create a

schematic type file and connect wire.

3、By designing the POC module, I find it helps to learn how to use of quartus and VHDL for design and simulation.The process of designing also teachs me the importantce of figuring out the struc- ture and timing of the task before programming .

Appendix:

Theprogram of processor:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

useieee.std_logic_arith.all;

useieee.std_logic_unsigned.all;

-- Uncomment the following library declaration if using

-- arithmetic functions with Signed or Unsigned values

--use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if instantiating

-- any Xilinx primitives in this code.

--library UNISIM;

--use UNISIM.VComponents.all;

entity processor is

port

(

clk : in std_logic;

IRQ : in std_logic;

DOUT : out std_logic_vector(7 downto 0):="00000000";

RW : out std_logic:='0';--0read,1write

A0 : out std_logic:='0';--0sr,1br

DIN : in std_logic_vector(7 downto 0)

);

end processor;

architecture Behavioral of processor is

signaldata:std_logic_vector(7 downto 0):="00000000"; signal mode:std_logic:='1';--默认为中断模式

begin

process(clk)

begin

ifclk'event and clk='1' then

if mode='1' then

if IRQ='0' then

A0<='1';

RW<='1';--写入数据到BR

data<=data+"00000001";--代表传输的字符

DOUT<=data;

else

A0<='X';

RW<='X';--读入SR的数据

end if;

end if;

end if;

end process;

end Behavioral;

the program of poc:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

useieee.std_logic_arith.ALL;

useieee.std_logic_unsigned.ALL;

-- Uncomment the following library declaration if using

-- arithmetic functions with Signed or Unsigned values

--use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code.

--library UNISIM;

--use UNISIM.VComponents.all;

entitypoc is

port

(

A0 : in std_logic;

RW : in std_logic;

clk : in std_logic;

CS : in std_logic:='1';

RDY : in std_logic;

IRQ : out std_logic:='1';

DOUT : out std_logic_vector(7 downto 0);

PD : out std_logic_vector(7 downto 0);

TR : out std_logic:='0';

DIN : in std_logic_vector(7 downto 0)

);

endpoc;

architecture Behavioral of poc is

signal SR : std_logic_vector(7 downto 0):="10000001"; signal BR : std_logic_vector(7 downto 0):="00000000"; signalcount:integer range 0 to 5:=0;

typestate_type is (s0,s1,s2);

signal state: state_type:=s0;

begin

process(clk)

begin

ifclk'event and clk='1' then

TR<='0';

IRQ<='1';

case state is

when s0=>----中断请求信号

if SR(7)='1' then

IRQ<='0';--中断请求

state<=s1;

else

IRQ<='1';

state<=s2;--无中断请求

end if;

when s1=>----读入读出选择

if RW='1' and A0='1' then--cpu写入数据到BR

BR<=DIN;

SR(7)<='0';

state<=s2;

elsif RW='0' and A0='0' then--cpu读入SR的数据

DOUT<=SR;

elsif RW='1' and A0='0' then--cpu写入数据到SR

SR<=DIN;

elsif RW='0' and A0='1' then--cpu读入BR的数据

DOUT<=BR;

end if;

when s2=>----打印机

if RDY='1' then

TR<='1';

PD<=BR;

SR(7)<='1';

end if;

state<=s0;

end case;

end if;

end process;

end Behavioral;

the program of printer:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

useieee.std_logic_arith.ALL;

useieee.std_logic_unsigned.ALL;

-- Uncomment the following library declaration if using

-- arithmetic functions with Signed or Unsigned values

--use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code.

--library UNISIM;

--use UNISIM.VComponents.all;

entity print is

port

(

RDY : out std_logic:='1';

TR : in std_logic;

PD : in std_logic_vector(7 downto 0);

clk : in std_logic

);

end print;

architecture Behavioral of print is

signal count: integer range 0 to 5:=0;

signal data: std_logic_vector(7 downto 0);

signalready:std_logic;

begin

process(clk,TR)

begin

ifclk'event and clk='1' then

if TR='1' then

RDY<='0';

ready<='0';

data<=PD;

else

if ready='0' then

count<=count+1;

if count=5 then

RDY<='1';

ready<='1';

count<=0;

end if;

end if;

end if;

end if;

end process;

end Behavioral;

connection program:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

-- Uncomment the following library declaration if using

-- arithmetic functions with Signed or Unsigned values

--use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code.

--library UNISIM;

--use UNISIM.VComponents.all;

entity top is

port

(

CLK : in std_logic

);

end top;

architecture Behavioral of top is

signalirq:std_logic;

signal d1:std_logic_vector(7 downto 0);

signal d2:std_logic_vector(7 downto 0);

signalrw:std_logic;

signalrdy:std_logic;

signalpd:std_logic_vector(7 downto 0);

signaltr:std_logic;

component processor

port

(

clk : in std_logic;

IRQ : in std_logic;

DOUT : out std_logic_vector(7 downto 0):="00000000"; RW : out std_logic:='0';--0read,1write

A0 : out std_logic:='0';--0sr,1br

DIN : in std_logic_vector(7 downto 0)

);

end component;

componentpoc

port

(

A0 : in std_logic;

RW : in std_logic;

clk : in std_logic;

CS : in std_logic:='1';

RDY : in std_logic;

IRQ : out std_logic:='1';

DOUT : out std_logic_vector(7 downto 0);

PD : out std_logic_vector(7 downto 0);

TR : out std_logic:='0';

DIN : in std_logic_vector(7 downto 0)

);

end component;

component print

port

(

RDY : out std_logic:='1';

TR : in std_logic;

PD : in std_logic_vector(7 downto 0);

);

end component;

begin

u1: processor port map(clk=>CLK,A0=>a0,RW=>rw,IRQ=>irq,DOUT=>d1,DIN=>d2);

u2: poc port

map(clk=>CLK,A0=>a0,RW=>rw,IRQ=>irq,DOUT=>d2,DIN=>d1,RDY=>rdy,TR=>tr,PD=>pd); u3: print port map(clk=>CLK,RDY=>rdy,TR=>tr,PD=>pd);

end Behavioral;

东南大学高等数学数学实验报告上

Image Image 高等数学数学实验报告 实验人员:院(系) ___________学号_________姓名____________实验地点:计算机中心机房 实验一 1、 实验题目: 根据上面的题目,通过作图,观察重要极限:lim(1+1/n)n =e 2、 实验目的和意义 方法的理论意义和实用价值。 利用数形结合的方法观察数列的极限,可以从点图上看出数列的收敛性,以及近似地观察出数列的收敛值;通过编程可以输出数列的任意多项值,以此来得到数列的收敛性。通过此实验对数列极限概念的理解形象化、具体化。 三、计算公式 (1+1/n)n 四、程序设计 五、程序运行结果 六、结果的讨论和分析 当n足够

Image Image 大时,所画出的点逐渐接近于直线,即点数越大,精确度越高。对于不同解题方法最后均能获得相同结果,因此需要择优,从众多方法中尽可能选择简单的一种。程序编写需要有扎实的理论基础,因此在上机调试前要仔细审查细节,对程序进行尽可能的简化、改进与完善。 实验二一、实验题目 制作函数y=sin cx的图形动画,并观察参数c对函数图形的影响。 二、实验目的和意义 本实验的目的是让同学熟悉数学软件Mathematica所具有的良好的作图功能,并通过函数图形来认识函数,运用函数的图形来观察和分析函数的有关性态,建立数形结合的思想。三、计算公式:y=sin cx 四、程序设计五、程序运行结果 六、结果的讨论和分析 c的不同导致函数的区间大小不同。 实验三 一、实验题目 观察函数f(x)=cos x的各阶泰勒展开式的图形。 二、实验目的和意义 利用Mathematica计算函数的各阶泰勒多项式,并通过绘制曲线图形,来进一步掌握泰勒展开与函数逼近的思想。 三、计算公式

DSP实验报告

一、综合实验内容和目的 1、实验目的 (1) 通过实验学习掌握TMS320F28335的浮点处理; (2) 学习并掌握A/D模块的使用方法; (3) 学习并掌握中断方式和查询方式的相关知识及其相互之间的转换; (4) 学习信号时域分析的方法,了解相关电量参数的计算方法; (5) 了解数字滤波的一些基本方法。 2、实验内容 要求1:对给定的波形信号,采用TMS320F28335的浮点功能计算该信号的以下时域参数:信号的周期T,信号的均方根大小V rms、平均值V avg、峰-峰值V pp。 其中,均方根V rms的计算公式如下: V= rms 式中N为采样点数,()u i为采样序列中的第i个采样点。 要求2:所设计软件需要计算采样的波形周期个数,并控制采样点数大于1个波形周期,且小于3个波形周期大小。 要求3:对采集的数据需要加一定的数字滤波。 二、硬件电路 相关硬件:TMS320F28335DSP实验箱,仿真器。

硬件结构图 三、程序流程图 1、主程序流程图 程序的主流程图2、子程序流程图

参数计算的流程图 四、实验结果和分析 1、实验过程分析 (1) 使用的函数原型声明 对ADC模件相关参数进行定义:ADC时钟预定标,使外设时钟HSPCLK 为25MHz,ADC模块时钟为12.5MHz,采样保持周期为16个ADC时钟。 (2) 定义全局变量 根据程序需要,定义相关变量。主要有:ConversionCount、Voltage[1024]、Voltage1[1024]、Voltage2[1024]、filter_buf[N]、filter_i、Max、Min、T、temp、temp1、temp2、temp3、Num、V、Vav、Vpp、Vrm、fre。这些变量的声明请见报告后所附的源程序。 (3) 编写主函数 完成系统寄存器及GPIO初始化;清除所有中断,初始化PIE向量表,将程

东南大学微机第四次实验报告

东南大学 《微机实验及课程设计》 实验报告 实验四双列点阵发光二极管显示实验 姓名:董元学号:22011207 专业:测控技术与仪器实验室:计算机硬件技术实验时间:2013年05月15 日报告时间:2013年05月18日评定成绩:审阅教师:

一. 实验目的与内容(概述) 实验目的: 1)进一步掌握TPC实验装置的基本原理和组成结构; 2)了解双色点阵LED显示器的基本原理 3)掌握PC机控制双色点阵LED显示程序的设计方法 实验内容: 4-1、在双色点阵发光二极管上显示一个黄色或红色的“年”字。 4-2、在双色点阵发光二极管上显示你的姓的汉字或拼音的第一个字母。要求该字符红色和黄色相间。 要求: 1、正确设置退出条件:可以按任意键退出,或者显示一定的次数退出 2、注意尽量清晰地显示字符,消除重影问题 4-3、利用双色点阵发光二极管任意设计一款霓虹灯动态图案,要求二极管阵列可以间或发两种颜色的光,并能看清动态变换的效果。 二. 基本实验原理(或基本原理) 点阵LED显示器是将许多LED类似矩阵一样排列在一起组成的显示器件,双色点阵LED是在每一个点阵的位置上有红绿或红黄或红白两种不同颜色的发光二极管。当微机输出的控制信号使得点阵中有些LED 发光,有些不发光,即可显示出特定的信息,包括汉字、图形等。车站广场由微机控制的点阵LED大屏幕广告宣传牌随处可见。 实验仪上设有一个共阳极8×8点阵的红黄两色LED显示器,其点阵结构如图所示。该点阵对外引出24条线,其中8条行线,8条红色列线,8条黄色列线。若使某一种颜色、某一个LED发光,只要将与其相连的行线加高电平,列线加低电平即可。 1、硬件连接: (1)行代码、红色列代码、黄色列代码各用一片74LS273锁存。 (2)行代码输出的数据通过行驱动器7407加至点阵的8条行线上, (3)红和黄列代码的输出数据通过驱动器DS75452反相后分别加至红和黄的列线上。 (4)行锁存器片选信号为CS1,红色列锁存器片选信号为CS2,黄色列锁存器片选信号为CS3。 2、流程图:

自动控制原理实验

自动控制原理实验 实验报告 实验三闭环电压控制系统研究 学号姓名 时间2014年10月21日 评定成绩审阅教师

实验三闭环电压控制系统研究 一、实验目的: (1)通过实例展示,认识自动控制系统的组成、功能及自动控制原理课程所要解决的问题。 (2)会正确实现闭环负反馈。 (3)通过开、闭环实验数据说明闭环控制效果。 二、预习与回答: (1)在实际控制系统调试时,如何正确实现负反馈闭环? 答:负反馈闭环,不是单纯的加减问题,它是通过增量法实现的,具体如下: 1.系统开环; 2.输入一个增或减的变化量; 3.相应的,反馈变化量会有增减; 4.若增大,也增大,则需用减法器; 5.若增大,减小,则需用加法器,即。 (2)你认为表格中加1KΩ载后,开环的电压值与闭环的电压值,哪个更接近2V? 答:闭环更接近。因为在开环系统下出现扰动时,系统前部分不会产生变化。故而系统不具有调节能力,对扰动的反应很大,也就会与2V相去甚远。 但在闭环系统下出现扰动时,由于有反馈的存在,扰动产生的影响会被反馈到输入端,系统就从输入部分产生了调整,经过调整后的电压值会与2V相差更小些。 因此,闭环的电压值更接近2V。 (3)学自动控制原理课程,在控制系统设计中主要设计哪一部份? 答:应当是系统的整体框架及误差调节部分。对于一个系统,功能部分是“被控对象”部分,这部分可由对应专业设计,反馈部分大多是传感器,因此可由传感器的专业设计,而自控原理关注的是系统整体的稳定性,因此,控制系统设计中心就要集中在整个系统的协调和误差调节环节。 二、实验原理: (1)利用各种实际物理装置(如电子装置、机械装置、化工装置等)在数学上的“相似性”,将各种实际物理装置从感兴趣的角度经过简化、并抽象成相同的数学形式。我们在设计控制系统时,不必研究每一种实际装置,而用几种“等价”的数学形式来表达、研究和设计。又由于人本身的自然属性,人对数学而言,不能直接感受它的自然物理属性,这给我们分析和设计带来了困难。所以,我们又用替代、模拟、仿真的形式把数学形式再变成“模拟实物”来研究。这样,就可以“秀才不出门,遍知天下事”。实际上,在后面的课程里,不同专业的学生将面对不同的实际物理对象,而“模拟实物”的实验方式可以做到举一反三,我们就是用下列“模拟实物”——电路系统,替代各种实际物理对象。

DSP实验报告

东南大学自动化学院 实验报告 课程名称: DSP技术及课程设计 实验名称:直流无刷电机控制综合实验 院(系):自动化专业:自动化 姓名:ssb 学号:08011 实验室:304 实验组别: 同组人员:ssb1 ssb2 实验时间:2014年 6 月 5 日评定成绩:审阅教师:

目录 1.实验目的和要求 (3) 1.1 实验目的 (3) 1.2 实验要求 (3) 1.2.1 基本功能 (3) 1.2.2 提高功能 (3) 2.实验设备与器材配置 (3) 3.实验原理 (3) 3.1 直流无刷电动机 (3) 3.2 电机驱动与控制 (5) 3.3 中断模块 (7) 3.3.1 通用定时器介绍及其控制方法 (7) 3.3.2 中断响应过程 (7) 3.4 AD模块 (8) 3.4.1 TMS320F28335A 芯片自带模数转换模块特性 (8) 3.4.2 模数模块介绍 (8) 3.4.3 模数转换的程序控制 (8) 4.实验方案与实验步骤 (8) 4.1 准备实验1:霍尔传感器捕获 (8) 4.1.1 实验目的 (8) 4.1.2 实验内容 (9) 4.1.2.1 准备 (9) 4.1.2.2 霍尔传感器捕获 (9) 4.2 准备实验2:直流无刷电机(BLDC)控制 (10) 4.2.1 程序框架原理 (10) 4.2.1.1 理解程序框架 (10) 4.2.1.2 基于drvlib281x库的PWM波形产生 (11) 4.2.2 根据捕获状态驱动电机运转 (12) 4.2.2.1 目的 (12) 4.2.2.2 分析 (12) 4.3 考核实验:直流无刷电机调速控制系统 (13) 4.3.1 初始化工作 (13) 4.3.2 初始化定时器0.... . (13) 4.3.3初始化IO口 (13) 4.3.4中断模块.... (13) 4.3.5 AD模块 (14) 4.3.6在液晶屏显示 (15) 4.3.7电机控制 (17) 4.3.7.1 控制速度方式选择 (17) 4.3.7.2 控制速度和转向 (18) 4.3.8延时子函数 (19) 4.3.9闭环PID调速 (19)

东南大学信息学院微机实验报告九

实验九 一、实验目的 1.熟悉系统功能调用INT 21H的有关功能 2.编写时钟程序 二、实验任务 1.执行时钟程序时,屏幕上显示提示符“:”,由键盘输入当前时、分、秒值,即XX:XX:XX,随即显示时间并不停地计时。 2.当有键盘按下时,立即停止计时,返回DOS。 三、源程序 DATA SEGMENT BUFFER DB 11 DB ? DB 10 DUP(?) DATA ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA OUTCLK: MOV AX,DATA MOV DS,AX MOV DL,':' MOV AH,2 INT 21H MOV DX,OFFSET BUFFER MOV AH,0AH ;输入字符串 INT 21H MOV BX,OFFSET BUFFER+2 MOV AL,[BX] ; 时针,ASCII码转非压缩BCD CMP AL, 03AH JAE ERROR1 CMP AL, 02FH JBE ERROR1 AND AL,0FH MOV [BX],AL INC BX MOV AL,[BX] AND AL,0FH MOV [BX],AL INC BX INC BX MOV AL,[BX] ; 分针

AND AL,0FH MOV [BX],AL INC BX MOV AL,[BX] AND AL,0FH MOV [BX],AL INC BX INC BX MOV AL,[BX] ;秒针 AND AL,0FH MOV [BX],AL INC BX MOV AL,[BX] AND AL,0FH MOV [BX],AL MOV BX,OFFSET BUFFER+2 CALL TOBCD ; 时针,两位非压缩BCD转换成两位压缩BCD MOV CH, AL ADD BX,3 CALL TOBCD MOV DH, AL ; 分针,两位非压缩BCD转换成两位压缩BCD MOV DH,AL ADD BX,3 CALL TOBCD ; 秒针,两位非压缩BCD转换成两位压缩BCD MOV DL,AL CMP CH, 24H JAE ERROR CMP DH, 60H JA ERROR CMP DL, 60H JA ERROR ERROR1: MOV AH,4CH INT 21H AGAIN: CALL DELAY MOV AL,DL ; 秒针加1 ADD AL,1 DAA MOV DL,AL CMP AL,60H JA ERROR JNE DISPY

电机实验报告东南大学自动化

东南大学 电机实验报告 姓名:学号: 专业:自动化 组员: 时间:2014年6月

实验一、二电器控制(一、二) 一、实验目的 1、了解接触器、按扭等元件的功能特点,掌握其工作原理及接线方法; 2、学会使用接触器、按钮组合控制风扇开关。 二、实验原理 1. 接触器型号划分 在电工学上。接触器是一种用来接通或断开带负载的交直流主电路或大容量控制电路的自动化切换器,主要控制对象是电动机,此外也用于其他电力负载,如电热器,电焊机,照明设备,接触器不仅能接通和切断电路,而且还具有低电压释放保护作用/。接触器控制容量大。适用于频繁操作和远距离控制。是自动控制系统 中的重要元件之一。通用接触器可大致分以下两类。 (1)交流接触器。主要由电磁机构、触头系统、灭弧装置等组成。常用的是CJ10、CJ12、CJ12B等系列。 (2)直流接触器。一般用于控制直流电器设备,线圈中通以直流电,直流接触器的动作原理和结构基本上与交流接触器是相同的。 但现在接触器的型号都重新划分了。都是AC系列的了。 AC-1类接触器是用来控制无感或微感电路的。 AC--2类接触器是用来控制绕线式异步电动机的启动和分断的。 AC-3和AC--4接触器可用于频繁控制异步电动机的启动和分断。 2. 交流接触器(CJX1-12) 实验室所用的是交流接触器(CJX1-12)如下图所示

铭牌如下 工作原理 当线圈通电时,静铁芯产生电磁吸力,将动铁芯吸合,由于触头系统是与动铁芯联动的,因此动铁芯带动三条动触片同时运行,触点闭合,从而接通电源。当线圈断电时,吸力消失, 动铁芯联动部分依靠弹簧的反作用力而分离,使主触头断开,切断电源。 使用接法 1、一般三相接触器一共有8个点,三路输入,三路输出,还有是控制点两个。输出和输入是对应的,很容易能看出来。如果要加自锁的话,则还需要从输出点的一个端子将线接到控制点上面。 2、首先应该知道交流接触器的原理。他是用外界电源来加在线圈上,产生电磁场。加电吸合,断电后接触点就断开。知道原理后,外加电源的接点,也就是线圈的两个接点,一般在接触器的下部,并且各在一边。其他的几路输入和输出一般在上部。还要注意外加电源的电压是多少(220V或380V),一般都标得有。并且注意接触点是常闭还是常开。

DSP实验报告

实验0 实验设备安装才CCS调试环境 实验目的: 按照实验讲义操作步骤,打开CCS软件,熟悉软件工作环境,了解整个工作环境内容,有助于提高以后实验的操作性和正确性。 实验步骤: 以演示实验一为例: 1.使用配送的并口电缆线连接好计算机并口与实验箱并口,打开实验箱电源; 2.启动CCS,点击主菜单“Project->Open”在目录“C5000QuickStart\sinewave\”下打开工程文件sinewave.pjt,然后点击主菜单“Project->Build”编译,然后点击主菜单“File->Load Program”装载debug目录下的程序sinewave.out; 3.打开源文件exer3.asm,在注释行“set breakpoint in CCS !!!”语句的NOP处单击右键弹出菜单,选择“Toggle breakpoint”加入红色的断点,如下图所示; 4.点击主菜单“View->Graph->Time/Frequency…”,屏幕会出现图形窗口设置对话框 5.双击Start Address,将其改为y0;双击Acquisition Buffer Size,将其改为1; DSP Data Type设置成16-bit signed integer,如下图所示; 6.点击主菜单“Windows->Tile Horizontally”,排列好窗口,便于观察 7.点击主菜单“Debug->Animate”或按F12键动画运行程序,即可观察到实验结果: 心得体会: 通过对演示实验的练习,让自己更进一步对CCS软件的运行环境、编译过程、装载过程、属性设置、动画演示、实验结果的观察有一个醒目的了解和熟悉的操作方法。熟悉了DSP实验箱基本模块。让我对DSP课程产生了浓厚的学习兴趣,课程学习和实验操作结合为一体的学习体系,使我更好的领悟到DSP课程的实用性和趣味性。

高等数学下实验报告

高等数学实验报告 实验人员:院(系)化学化工学院 学号19013302 姓名 黄天宇 实验地点:计算机中心机房 实验七:空间曲线与曲面的绘制 一、 实验目的 1、利用数学软件Mathematica 绘制三维图形来观察空间曲线和空 间曲面图形的特点,以加强几何的直观性。 2、学会用Mathematica 绘制空间立体图形。 二、实验题目 利用参数方程作图,做出由下列曲面所围成的立体图形: (1) x y x y x z =+--=2 222,1及xOy 平面; (2) 01,=-+=y x xy z 及.0=z 三、实验原理 空间曲面的绘制 作参数方程],[],,[,),(),() ,(max min max min v v v u u v u z z v u y y v u x x ∈∈? ?? ??===所确定的曲面图形的 Mathematica 命令为: ParametricPlot3D[{x[u,v],y[u,v],z[u,v]},{u,umin,umax}, {v,vmin,vmax},选项] 四、程序设计及运行 (1)

(2)

六、结果的讨论和分析 1、通过参数方程的方法做出的图形,可以比较完整的显示出空 间中的曲面和立体图形。 2、可以通过mathematica 软件作出多重积分的积分区域,使积分能够较直观的被观察。 3、从(1)中的实验结果可以看出,所围成的立体图形是球面和圆柱面所围成的立体空间。 4、从(2)中的实验结果可以看出围成的立体图形的上面曲面的方程是xy z =,下底面的方程是z=0,右边的平面是01=-+y x 。 实验八 无穷级数与函数逼近 一、 实验目的 (1) 用Mathematica 显示级数部分和的变化趋势; (2) 展示Fourier 级数对周期函数的逼近情况; (3) 学会如何利用幂级数的部分和对函数进行逼近以及函数值的近似计算。 二、实验题目 (1)、观察级数 ∑ ∞ =1 ! n n n n 的部分和序列的变化趋势,并求和。 (2)、改变例2中m 及x 0的数值来求函数的幂级数及观察其幂级数逼近函数的情况 (3)、观察函数? ? ?<≤<≤--=ππx x x x f 0,10 ,)(展成的Fourier 级数

dsp实验报告

DSP 实验课大作业实验报告 题目:在DSP 上实现线性调频信号的脉冲压缩,动目标显示和动目标检测 (一)实验目的: (1)了解线性调频信号的脉冲压缩、动目标显示和动目标检测的原理,及其DSP 实现的整个流程; (2)掌握C 语言与汇编语言混合编程的基本方法。 (3)使用MATLAB 进行性能仿真,并将DSP 的处理结果与MATLAB 的仿真结果进行比较。 (二)实验内容: 1. MATLAB 仿真 设定信号带宽为B= 62*10,脉宽-6=42.0*10τ,采样频率为62*10Fs =,脉冲重复周期为-4T=2.4*10,用MATLAB 产生16个脉冲的线性调频信号,每个脉冲包含三个目标,速度和距离如下表: 对回波信号进行脉冲压缩,MTI ,MTD 。并且将回波数据和频域脉压系数保存供DSP 使用。 2.DSP 实现 在Visual Dsp 中,经MATLAB 保存的回波数据和脉压系数进行脉压,MTI 和MTD 。 (三)实验原理 1.脉冲压缩原理 在雷达系统中,人们一直希望提高雷达的距离分辨力,而距离分辨力定义为:22c c R B τ?==。其中,τ表示脉冲时宽,B 表示脉冲带宽。从上式中我们可以看

出高的雷达分辨率要求时宽τ小,而要求带宽B大。但是时宽τ越小雷达的平均发射功率就会很小,这样就大大降低了雷达的作用距离。因此雷达作用距离和雷达分辨力这两个重要的指标变得矛盾起来。然而通过脉冲压缩技术就可以解决这个矛盾。脉冲压缩技术能够保持雷达拥有较高平均发射功率的同时获得良好的距离分辨力。 在本实验中,雷达发射波形采用线性调频脉冲信号(LFM),其中频率与时延成正比关系,因此我们就可以将信号通过一个滤波器,该滤波器满足频率与时延成反比关系。那么输入信号的低频分量就会得到一个较大的时延,而输入信号的高频分量就会得到一个较小的时延,中频分量就会按比例获得相应的时延,信号就被压缩成脉冲宽度为1/B的窄脉冲。 从以上原理我们可以看出,通过使用一个与输入信号时延频率特性规律相反的滤波器我们可以实现脉冲压缩,即该滤波器的相频特性与发射信号时共轭匹配的。所以说脉冲压缩滤波器就是一个匹配滤波器。从而我们可以在时域和频域两个方向进行脉冲压缩。 滤波器的输出() h n= y n为输入信号() x n与匹配滤波器的系统函数() *(1) y n x n s N n =--。转换到频域就是--卷积的结果:* ()()*(1) s N n =。因此我们可以将输入信号和系统函数分别转化到频域:Y k X k H k ()()( Y k,然后将结果再转化到时域, h n H k →,进行频域相乘得() ()() x t X k →,()() 就可以得到滤波器输出:()() →。我们可用FFT和IFFT来实现作用域的 Y k y n 转换。原理图如下: 图1.脉冲压缩原理框图 2.MTI原理 动目标显示(MTI)技术是用来抑制各种杂波,来实现检测或者显示运动目标的技术。利用它可以抑制固定目标的信号,显示运动目标的信号。以线性调频

自动控制实验报告1

东南大学自动控制实验室 实验报告 课程名称:自动控制原理 实验名称:闭环电压控制系统研究 院(系):仪器科学与工程专业:测控技术与仪器姓名:学号: 实验室:常州楼五楼实验组别:/ 同组人员:实验时间:2018/10/17 评定成绩:审阅教师: 实验三闭环电压控制系统研究

一、实验目的: (1)通过实例展示,认识自动控制系统的组成、功能。 (2)会正确实现闭环负反馈。 (3)通过开、闭环实验数据说明闭环控制效果。 二、实验原理: (1)利用各种实际物理装置(如电子装置、机械装置、化工装置等)在数学上的“相似性”,将各种实际物理装置从感兴趣的角度经过简化、并抽象成相同的数学形式。我们在设计控制系统时,不必研究每一种实际装置,而用几种“等价”的数学形式来表达、研究和设计。又由于人本身的自然属性,人对数学而言,不能直接感受它的自然物理属性,这给我们分析和设计带来了困难。所以,我们又用替代、模拟、仿真的形式把数学形式再变成“模拟实物”来研究。这样,就可以“秀才不出门,遍知天下事”。实际上,在后面的课程里,不同专业的学生将面对不同的实际物理对象,而“模拟实物”的实验方式可以做到举一反三,我们就是用下列“模拟实物”——电路系统,替代各种实际物理对象。 (2)自动控制的根本是闭环,尽管有的系统不能直接感受到它的闭环形式,如步进电机控制,专家系统等,从大局看,还是闭环。闭环控制可以带来想象不到的好处,本实验就是用开环和闭环在负载扰动下的实验数据,说明闭环控制效果。自动控制系统性能的优劣,其原因之一就是取决调节器的结构和算法的设计(本课程主要用串联调节、状态反馈),本实验为了简洁,采用单闭环、比例调节器K。通过实验证明:不同的K,对系性能产生不同的影响,以说明正确设计调节器算法的重要性。 (3)为了使实验有代表性,本实验采用三阶(高阶)系统。这样,当调节器K值过大时,控制系统会产生典型的现象——振荡。本实验也可以认为是一个真实的电压控制系统。 三、实验设备: THBDC-1实验平台 四、实验线路图: 五、实验步骤:

DSP运行实验报告

DSP运行实验报告 一、实验目的 熟悉CCS软件仿真下,DSP程序的下载和运行;熟悉借助单片机的DSP程序下载和运行; 熟悉借助仿真器的DSP程序下载和运行;熟悉与DSP程序下载运行相关的CCS编程环境。 二、实验原理 CCS软件仿真下,借用计算机的资源仿真DSP的内部结构,可以模拟DSP程序的下载和运行。 如果要让程序在实验板的DSP中运行、调试和仿真,可以用仿真器进行DSP程序下载和运行。初学者也可以不用仿真器来使用这款实验板,只是不能进行程序调试和仿真。 在本实验板的作用中,单片机既是串口下载程序的载体,又是充当DSP 的片外存储器(相对于FLASH),用于固化程序。 三、实验设备、仪器及材料 安装有WINDOWS XP操作系统和CCS3.3的计算机。 四、实验步骤(按照实际操作过程) 1、CCS软件仿真下,DSP程序的下载和运行。 第一步:安装CCS,如果不使用仿真器,CCS 的运行环境要设置成一个模拟仿真器(软仿真)。

第二步:运行CCS,进入CCS 开发环境。 第三步:打开一个工程。 将实验目录下的EXP01目录拷到D:\shiyan下(目录路径不能有中文),用[Project]\[Open]菜单打开工程,在“Project Open”对话框中选 EXP01\CPUtimer\CpuTimer.pjt,选“打开”, 第四步:编译工程。 在[Project]菜单中选“Rebuild All”,生成CpuTimer.out文件。 第五步:装载程序。 用[File]\[Load Program]菜单装载第四步生成CpuTimer.out文件,在当前工程目录中的Debug 文件夹中找到CpuTimer.out文件,选中,鼠标左键单击“打开”。

自动检测技术实验一

东南大学自动化学院 实验报告课程名称:检测技术 第1 次实验

实验名称:实验一、三、五、八、九 院(系):自动化专业:自动化 :学号: 实验室:实验组别: 同组人员:实验时间:2013 年11月16日 评定成绩:审阅教师: 实验一金属箔式应变片——单臂电桥性能实验一、基本原理 电阻丝在外力作用下发生机械变形时,其电阻值发生变化,这就是电阻应变效应。 描述电阻应变效应的关系式为:ΔR/R=Kε式中:ΔR/R 为电阻丝电阻相对变化,K 为应变灵敏系数,ε=ΔL/L为电阻丝长度相对变化。 金属箔式应变片就是通过光刻、腐蚀等工艺制成的应变敏感元件,通过它反映被测部位受力状态的变化。电桥的作用是完成电阻到电压的比例变化,电桥的输出电压反映了相应的受力状态。单臂电桥输出电压Uo1= EKε/4。 二、实验器材及连线 主机箱(±4V、±15V、电压表)、应变传感器实验模板、托盘、砝码、万用表、导线等。

图2-1 应变式传感器安装示意图 图2-2 应变传感器实验模板、接线示意图图2-3 单臂电桥工作原理图 三、实验步骤 1、根据图2-3 工作原理图、图2-2 接线示意图安装接线。 2、放大器输出调零 将实验模板上放大器的两输入端口引线暂时脱开,再用导线将两输入端短接(Vi=0);调节放大器的增益电位器RW3 大约到中间位置(先逆时针旋到底,再顺时针旋转2 圈);将主机箱电压表的量程切换开关打到2V 档,合上主机箱电源开关;调节实验模板放大器的调零电位器RW4,使电压表显示为零。 3、电桥调零

拆去放大器输入端口的短接线,将暂时脱开的引线复原。调节实验模板上的桥路平衡电位器RW1,使电压表显示为零。 4、应变片单臂电桥实验 在应变传感器的托盘上放置一只砝码,读取数显表数值,依次增加砝码和读取相应的数显表值,直到200g(或500 g)砝码加完。实验结果填入表2-1,画出实验曲线。 表2-1 重量(g) 20 40 60 80 100 120 140 160 180 200 电压(mv) 15.2 30.5 45.9 61.5 77.0 92.4 108.0 132.8 148.3 163.9 拟合方程为:0.834 4.1933 U W =?- 重量20 40 60 80 100 120 140 160 180 200

东南大学微机实验报告一

微机实验报告 实验一指令与汇编语言基础 姓名:学号: 专业:测控技术与仪器实验室: 时间:2013年04月23号报告时间:2013年04 月23号评定成绩:审阅教师:

一、实验目的 1)了解命令行操作基本方式和基本命令,掌握PC环境下命令行方式的特点; 2)掌握汇编语言程序指令编辑、宏汇编、连接、运行基本概念;3)熟练掌握动态调试程序TD的常用命令和窗口功能,学会用TD调试程序,修改环境; 4)学会利用DEBUG或TD检查认识指令功能的正确方法。 二、实验内容 (一)必做实验 1-1、要求计算两个多字节十六进制数之差: 3B74AC60F8-20D59E36C1=? 式中被减数和减数为5个字节,存放在DATA1和DATA2的内存区,低位在前,高位在后。试编写减法的程序段,要求相减的结果存放在首址为DATA3的内存区。 1-2、以BUFFER为首地址的内存区存放了10个十六位带符号数,编写程序比较它们的大小,找出其中最小的带符号数,存入MIN和MIN+1单元。 三实验源程序和流程图 1、十六进制相减 A、实验要求: 计算两个多字节十六进制数之差:

3B74AC60F8-20D59E36C1=? 式中被减数和减数为5个字节,存放在DATA1和DATA2的内存区,低位在前,高位在后。试编写减法的程序段,要求相减的结果存放在首址为DATA3的内存区。 B、实验源代码和流程图 DATA SEGMENT DATA1 DB 0F8H,60H,0ACH,74H,3BH DATA2 DB 0C1H,36H,9EH,0D5H,20H DATA3 DB 5 DUP(?) DATA ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA START: MOV AX,DATA MOV DS,AX MOV CX,5 MOV DI,0 CLD LOOPER: MOV AL,DATA1[DI] SBB AL,DATA2[DI] MOV DATA3[DI],AL INC DI DEC CX JNZ LOOPER MOV AH,4CH INT 21H CODE ENDS END START C、实验过程及实验结果

东南大学自控实验报告实验三闭环电压控制系统研究

东南大学自控实验报告实验三闭环电压控制系统研究

东南大学 《自动控制原理》 实验报告 实验名称:实验三闭环电压控制系统研究 院(系):专业: 姓名:学号: 实验室: 416 实验组别: 同组人员:实验时间:年 11月 24日评定成绩:审阅教师:

实验三闭环电压控制系统研究 一、实验目的: (1)经过实例展示,认识自动控制系统的组成、功能及自动控制原理课程所要解决的问题。 (2)会正确实现闭环负反馈。 (3)经过开、闭环实验数据说明闭环控制效果。 二、实验原理: (1)利用各种实际物理装置(如电子装置、机械装置、化工装置等)在数学上的“相似性”,将各种实际物理装置从感兴趣的角度经过简化、并抽象成相同的数学形式。我们在设计控制系统时,不必研究每一种实际装置,而用几种“等价”的数学形式来表示、研究和设计。又由于人本身的自然属性,人对数学而言,不能直接感受它的自然物理属性,这给我们分析和设计带来了困难。因此,我们又用替代、模拟、仿真的形式把数学形式再变成“模拟实物”来研究。这样,就能够“秀才不出门,遍知天下事”。实际上,在后面的课程里,不同专业的学生将面对不同的实际物理对象,而“模拟实物”的实验方式能够做到举一反三,我们就是用下列“模拟实物”——电路系统,替代各种实际物理对象。 (2)自动控制的根本是闭环,尽管有的系统不能直接感受到它的

闭环形式,如步进电机控制,专家系统等,从大局看,还是闭环。闭环控制能够带来想象不到的好处,本实验就是用开环和闭环在负载扰动下的实验数据,说明闭环控制效果。自动控制系统性能的优劣,其原因之一就是取决调节器的结构和算法的设计(本课程主要用串联调节、状态反馈),本实验为了简洁,采用单闭环、比例调节器K。经过实验证明:不同的K,对系性能产生不同的影响,以说明正确设计调节器算法的重要性。 (3)为了使实验有代表性,本实验采用三阶(高阶)系统。这样,当调节器K值过大时,控制系统会产生典型的现象——振荡。本实验也能够认为是一个真实的电压控制系统。 三、实验设备: THBDC-1实验平台 四、实验线路图: 五、实验步骤: (1)如图接线,建议使用运算放大器U8、U10、U9、U11、U13。

高等数学(下册)数学实验报告

高等数学A(下册)实验报告 院(系): 学号:姓名: 实验一 利用参数方程作图,作出由下列曲面所围成的立体: (1) 2 2 1Y X Z- - = , X Y X= +2 2 及 xOy 面 ·程序设计: -1, 1},Axe s2=ParametricPlot3D[{1/2*Cos[u]+1/2,1/2*Sin[u],v},{u,- s3=ParametricPlot3D[{u,v,0},{u,-1,1},{v,- DisplayFunction 程序运行结果: 实验二 实验名称:无穷级数与函数逼近 实验目的:观察的部分和序列的变化趋势,并求和

实验内容: (1)利用级数观察图形的敛散性 当n 从1~400时,输入语句如下: 运行后见下图,可以看出级数收敛,级数和大约为1.87985 (2先输入: 输出: 输出和输入相同,此时应该用近似值法。输入: 输出: 1.87985 结论:级数大约收敛于1.87985 实验三: 1. 改变例2中m 的值及的数值来求函数的幂级数及观察其幂级数逼近函数的情况

·程序设计: m 5; f x_:1 x^m;x0 1; g n_,x0_ :D f x, x, n .x x0; s n_,x_: Sum g k,x0/k x x0 ^k, k, 0, t Table s n, x, n, 20; p1 Plot Evaluate t ,x,1,2,3 2; p2 Plot 1 x ^m , x,1 2,3 2, PlotStyle RGBColor 0,0,1; Show p1,p2 ·程序运行结果 实验四 实验名称:最小二乘法 实验目的:测定某种刀具的磨损速度与时间的关系实验内容:

【微机实验】2018东南大学微型计算机原理及应用实验二

实验二基本算术和逻辑运算 学院:信息科学与工程学院姓名:周信元学号:04016523 实验日期:2018.4.4 一、实验目的 1.熟悉算术和逻辑运算指令的功能。 2.进一步了解标志寄存器各标志位的意义和指令执行对它的影响。 二、实验任务 1.采用单步执行方式执行下列各程序段,检查各标志位的情况。 程序段1 MOV AX, 10101H ;AX=1010H MOV SI, 2000H ;SI=2000H ADD AL, 30H ;AX=1040H ADD AX, SI ;AX=3040H MOV BX, 03FFH ;BX=03FFH ADD AX, BX ;AX=343FH MOV[0020],1000H ;DS:[0020]=1000H ADD 0020, AX ;DS:[0020]=443FH 程序段2: MOV AX, OA0AOIH ;AX=A0A0H ADO AX, OFFFFH ;AX=A09FH MOV CX, OFFOOH ;CX=FF00H ADD AX, CX ;AX=9F9FH SUB AX, AX ;AX=0000H INC AX ;AX=0001H OR CX, OOFFH ;CX=FFFFH AND CX, OFOFH ;CX=0F0FH M0V[0010],CX ;DS:[0010]=OFOFH 程序段3: MOV BL, 25H ;BX=0025H MO[0010],04H ;DS:[0010]=04H MOV AL, [0010] ;AX=0004H MUL BL ;AX=0094H 程序段4: MOV BL, 04H ;BX=0004H MOV WORD PTR L0010], 0080H;DS:[0010]=0080H MOV AX, [0010] ;AX=0080H DIV BL ;AX=0020H 程序段5:

自动检测技术实验一

自动检测技术实验一-标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

东南大学自动化学院 实验报告课程名称:检测技术 第 1 次实验 实验名称:实验一、三、五、八、九 院(系):自动化专业:自动化 姓名:学号: 实验室:实验组别: 同组人员:实验时间:2013 年 11 月 16 日评定成绩:审阅教师:

实验一金属箔式应变片——单臂电桥性能实验一、基本原理 电阻丝在外力作用下发生机械变形时,其电阻值发生变化,这就是电阻应变效应。 描述电阻应变效应的关系式为:ΔR/R=Kε式中:ΔR/R 为电阻丝电阻相对变化,K 为应变灵敏系数,ε=ΔL/L为电阻丝长度相对变化。 金属箔式应变片就是通过光刻、腐蚀等工艺制成的应变敏感元件,通过它反映被测部位受力状态的变化。电桥的作用是完成电阻到电压的比例变化,电桥的输出电压反映了相应的受力状态。单臂电桥输出电压Uo1= EKε/4。 二、实验器材及连线 主机箱(±4V、±15V、电压表)、应变传感器实验模板、托盘、砝码、万用表、导线等。 图2-1 应变式传感器安装示意图

图2-2 应变传感器实验模板、接线示意图图2-3 单臂电桥工作原理图 三、实验步骤 1、根据图2-3 工作原理图、图2-2 接线示意图安装接线。 2、放大器输出调零 将实验模板上放大器的两输入端口引线暂时脱开,再用导线将两输入端短接(Vi =0);调节放大器的增益电位器RW3 大约到中间位置(先逆时针旋到底,再顺时针旋转2 圈);将主机箱电压表的量程切换开关打到2V 档,合上主机箱电源开关;调节实验模板放大器的调零电位器RW4,使电压表显示为零。 3、电桥调零 拆去放大器输入端口的短接线,将暂时脱开的引线复原。调节实验模板上的桥路平衡电位器RW1,使电压表显示为零。 4、应变片单臂电桥实验

高等数学实验报告

课程实验报告 专业年级2016级计算机类2班课程名称高等数学 指导教师张文红 学生姓名李发元 学号20160107000215 实验日期2016.12 .21 实验地点勤学楼4-24 实验成绩 教务处制 2016 年9月21 日

实验项 目名称 Matlab软件入门与求连续函数的极限 实验目的 及要求 实验目的: 1.了解Matlab软件的入门知识; 2.掌握Matlab软件计算函数极限的方法; 3.掌握Matlab软件计算函数导数的方法。 实验要求: 1.按照实验要求,在相应位置填写答案; 2.将完成的实验报告,以电子版的形式交给班长, 转交给任课教师,文件名“姓名+ 学号”。 实验内容利用Matlab完成下列内容: 1、(1) 2 2 1 lim 471 x x x x →∞ - -+ ;(2) 3 tan sin lim x x x x → - ;(3) 1 lim 1 x x x x →∞ - ?? ? + ??2、(1)x x y ln 2 =,求y';(2)ln(1) y x =+,求()n y 实验步骤1.开启MATLAB编辑窗口,键入编写的命令,运行; 2.若出现错误,修改、运行直到输出正确结果; 3.将Matlab输入输出结果,粘贴到该实验报告相应的位置。第一题 2 2 1 lim 471 x x x x →∞ - -+ 运行编码是 >> syms x >> limit((x^2-1)/(4x^2x+1),x,inf) ans =

1/4 第二题3 0tan sin lim x x x x →- >> syms x >> limit((tanx-sinx)/(x^3),x,0) ans = 1 第三题1lim 1x x x x →∞-?? ?+?? >> syms x >> limit(((x-1)^x)/(x+1),x,inf) ans = 2 第四题(1)x x y ln 2=,求y '; >> syms x >>f(x)=x^2in(x) f(x)=x^2in(x) >>diff(f(x)), ans = 2xinx+x 第五题ln(1)y x =+,求()n y >> syms x >>f(x)In(1+x) f(x)In(1+x) >>diff(f(x),n), ans =

东南大学信息学院DSP课程第二次实验报告

数字信号处理第二次实验报告 --------IIR 数字滤波器的设计 姓名:印友进 学号:04012540 一、实验目的 (1)掌握双线形变换法及脉冲响应不变法设计IIR 数字滤波器的具体设计方法及其原理,熟悉用双线形变换法及脉冲响应不变法设计低通,高通和带通IIR 数字滤波器的计算机编程。 (2)观察双线形变换法及脉冲响应不变法设计的滤波器的频域特性,了解双线形变换法及脉冲响应不变法的特点。 (3)熟悉巴特沃思滤波器,切比雪夫滤波器和椭圆滤波器的频率特性。 二、实验原理 (1)脉冲响应不变法 用数字滤波器的单位脉冲响应序列()h n 模仿模拟滤波器的冲激响应()a h n ,让()h n 正好等于()a h n 的采样值,即()()a h n h n =,其中T 为采样间隔,如果以()a H n 及()H z 分别表示()a h n 的拉式变换及()h n 的Z 变换,则有 ) 2(1)(m T j s H T z H m a e z sT ∑∞-∞==+=π (2)双线性变换法 S 平面与z 平面之间满足以下映射关系: 1 1 1212,112 T s z s z T T z s --+ -=?= +- s 平面的虚轴单值地映射于z 平面的单位圆上,s 平面的左半平面完全映射到z 平面的单位圆内。 双线性变换不存在混叠问题。双线性变换是一种非线性变换(tan 2 2 T ω Ω= ),这种非线性引起的幅频特性畸变可通过预畸而得到校正。 IIR 低通、高通、带通数字滤波器设计采用双线性原型变换公式:

(3)实验中有关变量的定义 c r s f f At f T δ通带边界频率阻带边界频率通带波动 最小阻带衰减采样频率采样周期 (4)设计一个数字滤波器一般包括以下两步 a. 按照任务要求,确定滤波器性能指标 b. 用一个因果稳定的离散时不变系统的系统函数去逼近这一性能要求 (5)数字滤波器的实现 对于IIR 滤波器,其逼近问题就是寻找滤波器的各项系数,使其系统函数逼近一个所要求的特性。先设计一个合适的模拟滤波器,然后变换成满足约定指标的数字滤波器。 用双线形变换法设计IIR 数字滤波器的过程: a. 将设计性能指标中的关键频率点进行“预畸” b. 利用“预畸”得到的频率点设计一个模拟滤波器。 c. 双线形变换,确定系统函数 三、实验内容 (1)fc=0.3kHz ,δ=0.8dB ,fr=0.2kHz ,At=20dB ,T=1ms ;设计一切比雪夫高通滤波器,观察其通带损耗和阻带衰减是否满足要求。 源程序: clc,clear; % 预畸变,W=2/T*tan(w/2) % w 为数字角频率,w=2*pi*fc/fs

相关文档
相关文档 最新文档