文档库 最新最全的文档下载
当前位置:文档库 › 《数字电子技术基础》复习指导(第七章)

《数字电子技术基础》复习指导(第七章)

《数字电子技术基础》复习指导(第七章)
《数字电子技术基础》复习指导(第七章)

第七章脉冲波形的产生和整形

一、本章知识点

1、用CMOS门构成的施密特电路的分析与计算。

2、微分型和积分型二种单稳态电路的比较,前者波形好但抗干扰差,后者波形差但抗干扰好。

3、晶体振荡器的振荡频率等于晶体的固有频率。

4、占空比的定义。

5、用施密特电路构成的多谐振荡器的分析。

6、555定时器构成的施密特电路的分析与计算。

7、555定时器构成的单稳态电路的分析与计算。

8、555定时器构成的多谐振荡器的分析与计算。

二、例题

(一)概念题

1.在脉冲产生与变换电路中,没有稳态的电路是,有一个稳态的电路是,有二个稳态的电路是,工作过程中不需要外触发信号的电路是。

2.石英晶体多谐振荡器的振荡频率取决于。

3.单稳态输出的脉冲宽度仅取决于。

4.施密特触发器能将边沿变化缓慢的信号波形整形为。5.在微分型与积分型这二种类型的单稳态触发器中,单稳态触发器抗干扰能力较强。

6.某多谐振荡器输出信号频率为1KHZ,已知q=0.4,输出信号低电平的宽度为ms。

(二)分析题

1.图示施密特电路中,已知R1=10K,R2=20K,G1和G2是CMOS反相器,V DD=10V。

求:(1)V T+、V T-及ΔV T

(2)画出V0波形

2.下图是延迟报警器。当开关S断开后,经一定的延迟时间后扬声器发声。试求延迟时间的具体数值和扬声器发出声音的频率。图中G1是CMOS反相器,输出的高、低电平分别为12V和0V。

3.图示电路中R d C d<

试求:(1)对应V i画出图中V2、V6、V3的波形,

(2)LED亮多长时间后自动熄灭,

(3)如果R d C d<<5R1C,t wi>R d C d,试对应V i画出V3的波形。

4.二片555构成图示电路。

试问:(1)在图示元件参数下,估算V01、V02端的振荡周期T各为多少?

(2)定性画出V01、V02的波形,说明电路具备何种功能?

5.分析图示电路,若要求开关S接通并立即断开后,扬声器能以1.2KHz的频

率持续响10s,试简述电路工作原理,并确定图中R1、R2的阻值。

电大基础会计期末考试试题及答案

20XX年电大基础会计期末考试试题及答案 一、单项选择题:从下列各题的备选答案中选择一个正确的,并将其序号字母填入题后的括号里。 1.根据现有的发现,我国会计一职最早出现在(A.西周时期)。 2.会计的基本职能是(A.反映和控制)。 3.会计的反映职能不包括(B.主观性)。 4.我国最新的企业会计准则实施于(A.2007年)。 5.会计对经济活动进行综合反映,主要是利用(C.货币量度)。 6.财产所有权与管理权相分离情况下,会计的根本目标是(A.完成受托责任)。 7.利润是企业在一定期间的(C.经营成果)。 8.下列各项中属于流动资产的有(A.应收账款)。 9.会计对象的具体化,可称为()。B.会计要素 10.下列各项中属于所有者权益的是()。D.未分配利润 11.正确的会计等式是()。A.资产=负债+所有者权益 12.在借贷记账法下,下列各项中说法正确的是()。A.资产类账户借方登记增加额13.账户是根据()在账簿中开设的记账单元。C.会计科目

14.在借贷记账法中,账户的哪一方记录增加数,哪一方记录减少数是由()决定的。B.账户性质 15.会计科目是对()的具体内容进行分类核算的项目。A.会计要素 16.复式记账法是对每一笔经济业务,都以相等的金额在()中进行登记。 D.两个或两个以上的账户 17.在下列账户中与负债账户结构相同的是()账户。D.所有者权益 18.所有者权益类账户的期末余额是根据(C.贷方期末余额=贷方期初余额+贷方本期发生额借方本期发生额 19.损益类账户中的收入账户年末应()。A.没有余额 20.存在着对应关系的账户,称为()。D.对应账户 21.账户发生额试算平衡是根据()确定的。A.借贷记账法的记账规则 22.下列错误中能够通过试算平衡查找的是()。D.借贷金额不等 23.简单会计分录的特征是()。C.一借一贷 24.复式记账法的基本理论依据是()。A.资产=负债+所有者权益 25.下列引起资产内部此增彼减的交易、事项是()。A.收回赊销款存入银行 26.以下各账户中属于费用账户的是()。

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

《数字电路》期末模拟试题及答案

. 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1= 2Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

《数字电子技术》总结复习

《数字电子技术》复习 一、主要知识点总结和要求 1.数制、编码其及转换:要求:能熟练在10进制、2进制、8进制、16进制、8421BCD、格雷码之间进行相互转换。 举例1:(37.25)10= ( )2= ( )16= ( )8421BCD 解:(37.25)10= ( 100101.01 )2= ( 25.4 )16= ( 00110111.00100101 )8421BCD 2.逻辑门电路: (1)基本概念 1)数字电路中晶体管作为开关使用时,是指它的工作状态处于饱和状态和截止状态。 2)TTL门电路典型高电平为3.6 V,典型低电平为0.3 V。 3)OC门和OD门具有线与功能。 4)三态门电路的特点、逻辑功能和应用。高阻态、高电平、低电平。 5)门电路参数:噪声容限V NH或V NL、扇出系数N o、平均传输时间t pd。 要求:掌握八种逻辑门电路的逻辑功能;掌握OC门和OD门,三态门电路的逻辑功能;能根据输入信号画出各种逻辑门电路的输出波形。 举例2:画出下列电路的输出波形。 解:由逻辑图写出表达式为:C + = =,则输出Y见上。 + Y+ A A B B C 3.基本逻辑运算的特点: 与运算:见零为零,全1为1;或运算:见1为1,全零为零; 与非运算:见零为1,全1为零;或非运算:见1为零,全零为1; 异或运算:相异为1,相同为零;同或运算:相同为1,相异为零;

非运算:零变 1, 1 变零; 要求:熟练应用上述逻辑运算。 4. 数字电路逻辑功能的几种表示方法及相互转换。 ①真值表(组合逻辑电路)或状态转换真值表(时序逻辑电路):是由变量的所有可能取值组合及其对应的函数值所构成的表格。 ②逻辑表达式:是由逻辑变量和与、或、非3种运算符连接起来所构成的式子。 ③卡诺图:是由表示变量的所有可能取值组合的小方格所构成的图形。 ④逻辑图:是由表示逻辑运算的逻辑符号所构成的图形。 ⑤波形图或时序图:是由输入变量的所有可能取值组合的高、低电平及其对应的输出函数值的高、低电平所构成的图形。 ⑥状态图(只有时序电路才有):描述时序逻辑电路的状态转换关系及转换条件的图形称为状态图。 要求:掌握这五种(对组合逻辑电路)或六种(对时序逻辑电路)方法之间的相互转换。 5.逻辑代数运算的基本规则 ①反演规则:对于任何一个逻辑表达式Y,如果将表达式中的所有“·”换 成“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,原变量换成反变量,反变量换成原变量,那么所得到的表达式就是函数Y的反函数Y (或称补函数)。这个规则称为反演规则。 ②对偶规则:对于任何一个逻辑表达式Y,如果将表达式中的所有“·”换成“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,而变量保持不

2014基础会计期末复习题

郫县友爱职业技术学校2013——2014学年度下期 高一财经就业班《基础会计》期末复习题 一、单项选择题 1.企业收到投资者投入设备一台,原价 60000 元,双方评估确认价 50000 元,则实收资本账户贷方登记的金额为( )元。 A.60000 B.50000 C.10000 D.70000 2.不属于产品成本项目的费用有( )。 A.直接材料 B.直接人工 C.制造费用 D.管理费用 3.企业购入材料发生的运杂费等采购费用应计入( )。 A.管理费用 B.材料物资采购成本 C.生产成本 D.销售费用 4.期末结转后可能有余额的账户是( )。 A.生产成本 B.营业税金及附加 C.财务费用 D.制造费用 5.( )不应计入当期损益的。 A.管理费用 B.财务费用 C.所得税费用 D.制造费用 6.( )不能计入产品成本的是。 A.制造费用 B.原材料 C.工资及福利费 D.管理费用 7.“ 主营业务成本” 账户的借方余额登记从( )账户中结转的本期已售产品的生产成本。 A.生产成本 B.库存商品 C.销售费用 D.在途物资 8.资本公积是企业( )中的主要组成部分。 A.资产 B.负债 C.所有者权益 D.收入 9.8月31日“ 本年利润” 账户有贷方余额 50000 元,表示( )。 A.8月份实现利润 50000 元 B.8月31日现利润 50000 元 C.1月1日至8月31日共计实现的利润为 50000 元 D.1月1日年初未分配利润为 50000 元 10.固定资产因损耗而减少的价值,应贷记( )账户。 A.“ 固定资产” B.“ 累计折旧” C.“ 管理费用” D.“ 制造费用” 11.企业应交纳的教育费附加应计入( )账户贷方。

数字电子技术期末考试试卷

09级2011年数字电子技术考试试卷 开课学院:通信工程学院 一、填空题:(每空1分,共14分) 1、数制转换:,。 2、若A/D转换器(包括取样—保持电路)输入模拟电压信号的最高变化频率为10kHZ,则取样频率的下限为()。 3、正数的补码和它的()相同,负数的补码可通过将( )得到。 4、试列出3种输出端可以并联使用的门电路:()、()、()。 5、()和()是构成各种复杂数字系统的基本逻辑单元。 6、()和()是衡量A/D转换器和D/A转换器性能优劣的主要标志。 二、化简题:(每小题6分,共12分) (1)、用逻辑函数公式某法证明:

B’CD’+BC’D+ACD+A’BC’D’+A’B’CD+BC’D’+BCD=B’C+BC’+CD。 (2)、试用卡诺图法化简下式,要求画出卡诺图,并勾圈化简:。 三、由与非门构成的某表决电路如图1所示,其中ABCD表示4个人,L=1时表示决议通过。(共10分) (1)试分析电路,说明决议通过的情况有几种。 (2)分析ABCD四个人中,谁的权利最大。

图1 四、某逻辑函数的真值表如表1.2所示,试将74HC153扩展为8选1数据选择器,再实现该逻辑函数。74HC153的功能与逻辑符号分别见表1.1和图2。(共15分)

五、已知74LS138的逻辑符号见图3,逻辑功能见表2.试画出用两片74LS138组成4线-16线译码器的接线图,并说明设计原理。(共10分) 图3 表2、74LS138功能表 使能端选择输入端输出端 S1 A2 A1 A0 × 1 0 ×××× ××× 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 0 1 0 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1

数字电子技术基础学习总结

数字电子技术基础学习总结 光阴似箭,日月如梭。有到了这个学期的期末,对我来说又是一次对知识的大检查。 这学期总共学习了4章,分别是数字逻辑基础、逻辑门电路基础、组合逻辑电路、触发器。 在第一章学习数字逻辑基础包括模拟信号与数字信号、数字电路、数制、各种数制之间的转换和对应关系表、码制(BCD码、格雷码、ASCII码)、逻辑问题的描述(这个是重点)、逻辑函数的五种描述方法、逻辑函数的化简; 在数制里学习四种进制十进制、二进制、八进制、十六进制;十进制是逢十进一,二进制是逢二进一,在八进制中只是二进制的一种简便表示方法而已,它的规律是逢八近一,而十六进制有09ABCDEF十六个数码这个要记住和一些算法。 比如十进制的534,八进制为1026,过程为: 534/8=66,余数为6; 66/8=8,余数为2; 8/8=1,余数为0; 1/8=0,余数为1;

仍然是从下往上看这些余数,顺序写出,答案为1026 所以在数制的之间转换有5种转换,10和2转换(除2取余数法,如上题一样),10和8转换对整数除8取余,对小数点乘8取整。10和16转换对整数除16取余,对小数点乘16取整,2和8转换对应关系3位二进制对应1位八进制可看对应关系图。2和16转换4位二进制对应1位十六进制数,可看对应关系图。 在码制的学习中学习了3种码BCD码、格雷码、ASCII码。 BCD码:用4位二进制数来表示1位十进制数中的0~9这10个数码,简称BCD码,还有几个常用的BCD码:8421(常用)、5421、2421、余3。 如8421码321的8421码就是(查表) 3 2 1 0011 0010 0001 原因:0011=8x0+4x0+1x2+1x1=3 、 0010=8x0+4x0+2x1+1x0=2、0001=8x0+4x0+2x0+1x1=1; 格雷码:有两个特点1相邻性2循环性。

电大基础会计期末复习资料简答题Word版

基础会计期末复习——简答题 1.什么是会计职能的?会计的基本职能有哪些?各自有什么特点?(10.7、13.1) 答:会计的职能是指会计所具有的功能。(1分) 其基本职能是反映和控制。(1分) ⑴会计的反映职能具有以下特点: ①会计提供的信息主要是通过货币形式来反映的(1分) ②会计提供的信息具有客观性和可验证性(1分) ③会计的反映具有连续性和综合性。(1分) ⑵会计的控制职能具有以下特点 ①会计控制具有强制性和严肃性(1分) ②会计控制具有适时性、连续性和全面性。(1分) 2.什么是复式记账法?复式记账法有什么优缺点?(11.1) 答:复式记账法是对发生每一笔经济业务所引起的会计要素的增减变动,以相等的金额同时在两个或两个以上的账户中相互联系地进行记录的一种方法。(3分) 复式记账法的优点有两个: 一是可以了解每一笔经济业务引起的资金运动的来龙去脉,通过全部经济业务的会计记录和数据,可以了解经济活动的过程和结果。(2分)二是可以利用账户记录进行试算平衡,检查账户记录的正确性。(2分) 3.什么是会计科目?什么是账户?二者有什么联系与区别?(10.1、12.7、13.7) 答:会计科目就是对会计要素的具体内容进行分类核算的项目。(1分) 账户是根据会计科目在账簿中开设的记账单元。(1分) 二者的相同点是它们所反映的会计对象的具体内容是相同的。(1分) 二者的区别是: ⑴会计科目仅仅是指账户的名称,而账户除了有名称(会计科目)外,它还具有一定的格式、结构,具体表现为若干账页,是用来记录经济业务的载体。(2分) ⑵会计科目是会计核算前,事先确定的对经济业务分类核算的项目,账户是经济业务发生之后,进行分类、连续登记的一种手段。(2分)

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器

三、(本题30分) 由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 C R R CC u o

(3)输出Z的序列是0010001100 第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答:1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。

《数字电子技术》期末考试题及答案(经典)

xxx~xxx学年第x学期 《数字电子技术》期末复习题 第一部分题目 一、判断题(每题2分,共30分。描述正确的在题号前的括号中打“√”,错误的打“×”)【】1、二进制有0 ~ 9十个数码,进位关系为逢十进一。 【】2、(325)8 >(225)10 【】3、十进制数整数转换为二进制数的方法是采用“除2取余法”。 【】4、在二进制与十六进制的转换中,有下列关系:(100111010001)2=(9D1)16 【】5、8421 BCD码是唯一能表示十进制数的编码。 【】6、十进制数85的8421 BCD码是101101。 【】7、格雷码为无权码,8421 BCD为有权码。 【】8、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。 【】9、逻辑变量的取值,1比0大。 【】10、在逻辑代数中,逻辑变量和函数均只有0和1两个取值,且不表示数量的大小。【】11、逻辑运算1+1=1 【】12、逻辑运算A+1+0=A 【】13、因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。 【】14、在时间和幅度上均不连续的信号是数字信号,所以语音信号是数字信号。 【】15、逻辑函数的运算次序为:先算括号内,后算括号外;先求与,再求或,最后求非。【】16、AB A C BC AB A C ++=+ 【】17、逻辑函数表达式的化简结果是唯一的。 【】18、逻辑真值表、逻辑表达式、逻辑图均是逻辑关系的描述方法。 【】19、n个变量组成的最小项总数是2n个。 【】20、逻辑函数的化简方法主要有代数化简法和卡诺图化简法。 【】21、逻辑函数化简过程中的无关项一律按取值为0处理。 【】22、数字电路中晶体管工作在开关状态,即不是工作在饱和区,就是工作在截止区。【】23、TTL或非门的多余输入端可以接高电平。 【】24、某一门电路有三个输入端A、B、C,当输入A、B、C不全为“1”时,输出Y为“0”,输入A、B、C全为高电平“1”时,输出Y为“1”,此门电路是或门电路。【】25、将三输入与非门中的两个输入端都接高电平,就可以实现非门功能。 【】26、基本的逻辑关系有与、或、非三种,其实现单元电路分别为与非门和或非门两种。【】27、CMOS门电路的输入电流大于TTL门电路的输入电流。 【】28、组合逻辑电路的基本组成单元是门电路。 【】29、组合电路没有记忆功能。 【】30、组合电路是一种具有记忆功能的逻辑电路。

数字电子技术总结复习

数字电子技术总结复习集团档案编码:[YTTR-YTPT28-YTNTL98-UYTYNN08]

《数字电子技术》复习 一、主要知识点总结和要求 1.数制、编码其及转换:要求:能熟练在10进制、2进制、8进制、16进制、8421BCD、格雷码之间进行相互转换。 举例1:()10= ( )2= ( )16= ( )8421BCD 解:()10= ( )2= ( )16= ( )8421BCD 2.逻辑门电路: (1)基本概念 1)数字电路中晶体管作为开关使用时,是指它的工作状态处于饱和状态和截止状态。 2)TTL门电路典型高电平为 V,典型低电平为 V。 3)OC门和OD门具有线与功能。 4)三态门电路的特点、逻辑功能和应用。高阻态、高电平、低电平。 5)门电路参数:噪声容限V NH或V NL、扇出系数N o、平均传输时间t pd。 要求:掌握八种逻辑门电路的逻辑功能;掌握OC门和OD门,三态门电路的逻辑功能;能根据输入信号画出各种逻辑门电路的输出波形。 举例2:画出下列电路的输出波形。 解:由逻辑图写出表达式为:C + = =,则输出Y见上。 + Y+ A A B B C 3.基本逻辑运算的特点: 与运算:见零为零,全1为1;或运算:见1为1,全零为零; 与非运算:见零为1,全1为零;或非运算:见1为零,全零为1; 异或运算:相异为1,相同为零;同或运算:相同为1,相异为零;

非运算:零变 1, 1 变零; 要求:熟练应用上述逻辑运算。 4. 数字电路逻辑功能的几种表示方法及相互转换。 ①真值表(组合逻辑电路)或状态转换真值表(时序逻辑电路):是由变量的所有可能取值组合及其对应的函数值所构成的表格。 ②逻辑表达式:是由逻辑变量和与、或、非3种运算符连接起来所构成的式子。 ③卡诺图:是由表示变量的所有可能取值组合的小方格所构成的图形。 ④逻辑图:是由表示逻辑运算的逻辑符号所构成的图形。 ⑤波形图或时序图:是由输入变量的所有可能取值组合的高、低电平及其对应的输出函数值的高、低电平所构成的图形。 ⑥状态图(只有时序电路才有):描述时序逻辑电路的状态转换关系及转换条件的图形称为状态图。 要求:掌握这五种(对组合逻辑电路)或六种(对时序逻辑电路)方法之间的相互转换。 5.逻辑代数运算的基本规则 ①反演规则:对于任何一个逻辑表达式Y,如果将表达式中的所有“·”换成 “+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,原变量换成反变量,反变量换成原变量,那么所得到的表达式就是函数Y的反函数Y(或称补函数)。这个规则称为反演规则。 ②对偶规则:对于任何一个逻辑表达式Y,如果将表达式中的所有“·”换成“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,而变量保持

基础会计期末复习提纲.06

《基础会计学》期末复习应考指南 -------开专必修课 第一部分复习应考基本要求 本学科是由中央电大会计及经济管理类专业专科层次开设的一门公共必修课,开课一学期,学完考试及格记4学分。因此,本学科所有的考试等有关要求由中央电大决定,所有考试的有关内容及要求,请以中央电大的有关资料及中央电大网上所挂内容为准;以下资料只起复习练习作用 (一)考试范围 本课程的命题范围是教材《基础会计》课程的教学大纲、教材、实施意见。(二)考试形式 考试形式:闭卷考试。 (三)考试时间:答题时限90分钟。具体时间问班主任 (四)试题类型及所占分值: 1.单选(20分=2*10) 2.多选(10分=2*5)3简答题(15分(2道))4.会计核算业务(55分)【其中单项业务题30分=3*10;计算题=1*12;分析题=1*13】 满分100分。 (五)试题特点:以基础知识为主,重会计核算知识的应用 (六)应试要注意的问题 1、吃透试题内容,一定要每题都答,不能留空白

2、一道题有几个小问是本科学考试试题的一个特点,一定要注意不要漏掉,每个问题均要回答。 3、会计核算题量较大,较灵活,一定要弄清题意思考后再作 4、《基础会计》考试试题量较大,要注意把握时间,先易后难,把好得的分的分值多的先拿到手。 5、本课程期末考试可以携带计算器 第二部分复习应考资料及其使用 本学科本次考试有下列4种资料,可供学员复习应考考试用。 (一)中央电大《基础会计期末复习指导》 本课程是中央电大出题考试,同学们应以《基础会计期末复习指导》 为重点,并且该资料非常全面,实用性非常强,希望同学们一定要认真用好。(二)教材课后的练习题:以第四章“借贷记账法的应用”例题为重点 第三部分复习练习题及参考答案 一、单项选择题 1.会计的基本职能是( A )。 A.反映和控制 B.预测和决策 C.监督和分析 D.反映和分析 2.会计反映职能的特点不包括( B )。 A.连续性 B.主观性 C.系统性 D.全面性 3.我国最新的企业会计准则于( A )年开始实施。 A. 2007 B.2006 C.2008 D.2009 4.会计对经济活动进行综合反映,主要是利用( C )。 A.实物量度 B.劳动量度 C.货币量度 D.工时量度 5.财产所有权与管理权分离情况下,会计的根本目标是( A )。

数字电子技术基础期末考试试卷及答案1[1]

数字电子技术基础试题(一) 填空题: (每空1数字电子技术基础试题(一) 一、分,共10分) 1.(30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为12 条、数据线为 8 条。 二、选择题:(选择一个正确的答案填入括号内,每题3分,共30分) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。

2.下列几种TTL电路中,输出端可实现线与功能的电路是(D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、D、双积分A/D转换器 7.某电路的输入波形u I 和输出波形u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

数字电子技术基础期末考试试卷及答案1[1]

填空题 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方 程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为 12 条、数据线为 8 条。二、选择题1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。 2.下列几种TTL电路中, 输出端可实现线与功能的电路是( D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为( C)。

A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为( D)。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有( C)个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式 Y= + C +A D,约束条件:A C + A CD+AB=0 四、分析下列电路。(每题6分,共12分) 1、写出如图1所示电路的真值表及最简逻辑表达式。

基础会计(专科) 期末考试试题及参考答案

《基础会计》(专科)复习题一 一、单项选择题(每题1分,共20分) 1.不能作为记帐依据的是( )。 A.发货票 B.收货单 C.入库单 D.经济合同 2.通过试算平衡能够查出的错误有( )。 A.漏记经济业务 B.重汇经济业务 C.记帐方向错误 D.借贷金额不对 3.企业预付保险金,应借记( )帐户。 A.预提费用 B.待摊费用 C.银行存款 D.管理费用 4.通常在年度决算之前,要( )。 A对企业所有财产进行技术推算盘点 B.对企业所有财产进行全面清查 C.对企业一部分财产进行清查 D.对企业流动性较大的财产进行全面清查 5.下列不属于采购费用的项目有( )。 A.材料买价 B.运杂费 C.途中合理损耗 D.采购员差旅费 6.三栏式现金日记帐一般由( )登记。 A.会计 B.经手人 C.出纳 D.会计主管 7.在实地盘存制下,平时帐面上应登记的内容有( )。 A.存货的增加 B.存货的减少 C.结存的存货 D.存货的损耗 8.损益类帐户期末应( )。 A.没有余额 B.借方余额 C.贷方余额 D.借贷方均有余额 9.企业本月销售产品10000元,收到货款6000元,收到上月产品成本销售货款8000元,收到预收货款7000元,则在收付实现制原则下,应确认为本月收入的是( )元。 A.21000 B.18000 C.14000 D.10000 10.下列凭证中,不能用来登记总分类帐户的是( )。 A.原始凭证 B.记帐凭证 C.汇总记帐凭证 D.科目汇总表 11.某企业购入丙材料,增值税专用发票上注明货款20000元,增值税3400元,发生包装费,运杂费共计300元,丙材料的采购成本为( )。 A.20000元 B.23400元 C.20300元 D.23700元 12.“预提费用”帐户是用来核算( )。 A.先计入成本后支付的费用 B.先支付后计入成本的费用 C.先预提后计入成本的费用 D.先预提后摊销的费用 13.某企业99年末负债与所有者权益的比例为1:3,则所有者权益是资产的( ) A.40% B.25% C.33% D.75% 14.对应收帐款进行明细分类核算时,其明细帐格式应采用( ) A.多栏式 B.三栏式 C.数量金额式 D.数量式 15.将现金2000元存入银行,出纳人员应填制( )。 A.转帐凭证 B.原始凭证 C.收款凭证 D.付款凭证 16.会计报表中反映一定日期财务情况的报表是( )。 A.损益表 B.利润分配表 C.资产负债表 D.财务状况变动表 17.企业发生原材料盘亏价值500元,经查明属企业管理制度不严所致,此项业务应在( )帐户中核算. A.应付帐款 B.营业外支出 C.管理费用 D.其他应收款 18.限额领料单属于( )。 A.累计原始凭证 B.汇总原始凭证 C.一次凭证 D.转帐凭证

杭州电子科技大学数字电路期末考试试卷及答案

8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz C . 100KHz D .50KHz 13.给36个字符编码,至少需要____6______位二进制数。 19.T 触发器的特性方程是___n n Q T Q ⊕=+1_____,当T=1时,特性方程为___n n Q Q =+1_____,这时触发器可以用来作___2分频器_____。 20.构造一个十进制的异步加法计数器,需要多少个 __4____触发器。计数器的进位Cy 的频率与计数器时钟脉冲CP 的频率之间的关系是____1﹕10_________。 21.(本题满分6分)用卡诺图化简下列逻辑函数 ∑ =)15,14,13,12,10,9,8,2,1,0(),,,(m D C B A F 解:画出逻辑函数F 的卡诺图。得到 D B D A C B C A AB F ++++= 22. (本题满分8分)电路如图所示,D 触发器是正边沿触发器,图中给出了时钟CP 及输入K 的波形。 (1)试写出电路次态输出1+n Q 逻辑表达式。(2)画出Q Q ,的波形。

由出真值表写出逻辑函数表达式,并化简 )(B A C C A C B A BC A C B A C B A F ⊕+=++ += 画出逻辑电路图 四、综合应用题(每小题10分,共20分) 25.3-8译码器74LS138逻辑符号如图所示,S1、2S 、3S 为使能控制端。试用两片74LS138构成一个4-16译码器。要求画出连接图说明设计方案。 装 订

基础会计学复习题一参考答案

《基础会计学》复习题 第二章会计要素与会计等式 一、单项选择题 1.下列各项目中不属于会计要素的是()。 A.资产 B.负债 C.财产 D.利润C 2.下列各项目中属于静态会计要素的是()。 A.费用 B.利润 C.收入 D.资产D 3.下列各项目中属于动态会计要素的是()。 A.收入 B.负债 C.资产 D.所有者权益A 4.下列各项目中不属于资产的是()。 A.预付账款 B.专利权 C.应收账款 D.预收账款D 5.资产通常按流动性分为()。 A.有形资产与无形资产 B.货币资产与非货币资产 C.流动资产与非流动资产 D.本企业资产与租入的资产C 6.下列各项目中属于资产的是()。 A.应付账款 B.预付账款 C.预收账款 D.应付股利B 7.下列各项目中属于所有者权益的是()。 A.长期股权投资 B.长期应付款 C.固定资产 D.股本D 8.下列各项目中属于负债的是()。 A.预收账款 B.现金 C.存货 D.股本A 9.下列不属于负债的特点的是()。 A.是过去的交易、事项所构成的现时义务 B.是企业拥有或控制的经济资源。 C.是企业未来经济利益的流出 D.能以货币计量,是可以确定或估计的。B

10.下列属于资产的特点的是()。 A.将导致企业未来经济利益流入 B.反映企业在一定时期所取得经营成果 C.将导致企业未来经济利益流出 D.是过去的交易、事项所构成的现时义务。A 11.下列会计等式中不正确的是()。 A.资产=负债+所有者权益 B.负债=资产-所有者权益 C.资产–负债=所有者权益 D.资产+负债=所有者权益D 12.下列经济业务中,影响会计等式总额发生变化的是() A.以银行存款50000元购买材料 B.购买机器设备20000元,货款未付 C.结转完工产品成本40000元 D.收回客户所欠的货款30000元B 13.下列经济活动中,引起资产和负债同时减少的是()。 A.以银行存款偿付前欠货款 B.购买材料货款尚未支付 C.收回应收账款 D.接受其他单位捐赠新设备A 14.下列经济活动中,引起负债之间彼此增减的是()。 A.收到应收账款,存入银行 B.向银行借入款项直接偿还应付账款 C.用银行存款偿还长期负债 D.用现金支付职工工资B 15.下列经济活动中,引起所有者权益之间彼此增减的是()。 A.收到应收账款,存入银行 B.收到股东A的现金投资 C.用银行存款偿还长期负债 D.发放股票股利 D 16.费用是指企业与销售商品、提供劳务等日常活动所发生的()。 A.经济利益的流出 B.生产费用 C.财务耗费 D.经济损失A 17.下列经济业务的发生不会使会计等式两边总额发生变化的有()。A.用银行存款支付购料款B.从银行提取现金 C.向银行取得借款存入银行D.收到预收账款存入银行 B 18.某企业本期期初资产总额为10万元,本期期末负债总额比期初减少1万元,所有者权益比期初增加3万元。该企业期末资产总额是()。A.9万元B.13万元C.10万元D.12万元D 19.下列引起所有者权益总额增加的情况是()。

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

电大《基础会计》期末复习指导练习题及答案.doc

一、单项选择题:从下列各题的备选答案中选择一个正确的,并将其序号字母填入题后的括号里。 1.根据现有的发现,我国会计一职最早出现在(西周时期)。 2.会计的基木职能是(A.反映和控制)。 3.会计的反映职能不包括(B.主观性)。 4.我国最新的企业会计准则实施于(A. 2007弟o 5.会计对经济活动进行综合反映,主要是利用(C.货币量度)。 6.财产所有权与管理权相分离情况下,会计的根木目标是(A.完成受托责任)。 7.利润是企业在一定期间的(C.经营成果)。 8.下列各项中属于流动资产的有(A.应收账款)。 9.会计对象的具体化,可称为(B.会计要索)。 10.下列各项中属于所有者权益的是(D.未分配利润)。 11.正确的会计等式是(A.资产二负债+所有者权益)。 12.在借贷记账法下,下列各项中说法正确的是(A.资产类账户借方登记增加额)。 13.账户是根据(C.会计科H)在账簿中开设的记账单元。 14.在借贷记账法中,账户的哪-方记录增加数,哪一方记录减少数是山(B.账户性质)决定的。 15.会计科目是对(A.会计要素)的具体内容进行分类核算的项目。 16.复式记账法是对每一笔经济业务,都以相等的金额在(D.两个或两个以上的账户)中进行登记。 17.在下列账户中与负债账户结构相同的是(C.费用)账户。 18.所有者权益类账户的期末余额是根据(C.贷方期末余额二贷方期初余额+贷方本期发生额-借方本期发生额 )计算。 19.损益类账户中的收入账户年末应(A.没有余额)。 20.存在着对应关系的账户,称为(D.对应账户)。 21.账户发生额试算平衡是根据(A.借贷记账法的记账规则)确定的。 22.下列错误中能够通过试算平衡查找的是(D.借贷金额不等)。 23.简单会计分录的特征是(C. 一借一贷)。 24.复式记账法的基本理论依据是(A.资产二负债+所有者权益)。 25.下列引起资产内部此增彼减的交易、事项是(A.收回赊销款存入银行)。 26.以下各账户中属于费用账户的是(B.财务费用)。 27.账户中各项金额的关系可以用(A.期末余额二期初余额+木期增加发生额-木期减少发生额)表示。 28.“累计折旧”账户贷方余额表示(C.折旧的累计数)。 29.在供应过程中,在实际成本法下,用来归集记入材料买价和采购费用,计算材料采购成本的账户是(C. “在途物资)。

数字电子技术基础期末考试试卷及答案

数字电子技术基础试题(一) 一、填空题:(每空1分,共10分) 1.(30.25)10=(11110.01)2=(1E.4)16。 2.逻辑函数L=+A+B+C+D=1。 3.三态门输出的三种状态分别为:、和。 4.主从型JK触发器的特性方程=。 5.用4个触发器可以存储位二进制数。 6.存储容量为4K×8位的RAM存储器,其地址线为12条、数据线为8 条。 1.(30.25)10=(11110.01)2=(1E.4)16。 2.1。 3.高电平、低电平和高阻态。 4.。 5.四。 6.12、8 二、选择题:(选择一个正确的答案填入括号内,每题3分,共30分) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下, 输出电压波形恒为0的是:(C)图。

2.下列几种TTL电路中,输出端可实现线与功能的电路是(D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D)。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、D、通过电阻接VCC 4.图2所示电路为由555定时器构成的(A)。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C)。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A)。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、D、双积分A/D转换器 7.某电路的输入波形uI和输出波形uO如下图所示,则该电路为(C)。

相关文档
相关文档 最新文档