文档库 最新最全的文档下载
当前位置:文档库 › 时钟的基本原理

时钟的基本原理

基于某52单片机电子时钟的设计论文设计(纯总汇编语言编写)

编号 单片机课程设计 (2013级) 题目:基于52单片机电子时钟的设计 学院:物理与机电工程学院 专业:电子信息科学与技术 作者姓名:陈??党??杜?? 指导教师:张??职称:教授 完成日期:2016 年7月2日 二〇一六年七月

基于52单片机电子时钟的设计 摘要 本次设计的多功能时钟系统采用STC89C52单片机为核心器件,利用其定时器/计数器定时和记数的原理,结合液晶显示电路、时钟芯片DS1302电路、电源电路以及按键电路来设计计时器。将软硬件有机地结合起来,使得系统能够实现液晶显示,显示有年、月、日、时、分、秒以及星期,还可以设置闹钟和整点报时。其中软件系统采用单片机汇编语言编写程序,包括显示程序、闹钟程序、中断、延时程序,按键消抖程序等,并在keil中调试运行,硬件系统利用PROTEUS 强大的功能来实现,简单且易于观察,在仿真中就可以观察到实际的工作状态。 关键词:STC89C52芯片;时钟芯片DS1302;单片机汇编语言;液晶显示电路

1 设计任务及要求分析 1.1 设计任务:基于单片机的电子时钟设计 1.2 要求: 1.2.1 用LCD液晶作为显示设备 1.2.2 可以分别设定小时、分钟和秒,复位后时间为 00 00 00 1.2.3 能实现日期的设置年、月、日 1.3 扩展要求:如闹钟功能、显示星期、整点音乐报时等 2 系统方案 2.1 系统整体方案的论证 电路原理设计是基于小系统板包括电源电路、复位电路、按键电路、DS1302时钟电路、液晶显示驱动电路、输出控制电路。电源部分是用电池来提供的3v-5v,晶体振荡器采用的是12MHz的石英晶体振荡器。 整个系统用单片机为中央控制器,由单片机执行采集时钟芯片的时间信号并通过显示模块来输出信号及相关的控制功能。时钟芯片产生时钟信号,利用单片机的I/O口传给单片机;并通过I/O口实现LCD的显示。系统设有4个独立式按键可以对时间年、月、日和星期进行调整,还可以设置闹钟。具体如图2.1所示: 图2.1 系统整体框图

实时时钟设计实验报告

实验报告

源代码: #pragma sfr //使用特殊功能寄存器 #pragma EI //开中断 #pragma DI //关中断 #pragma access //使用绝对地址指令 #pragma interrupt INTTM000 Time //定义时间中断函数为Time #pragma interrupt INTKR OnKeyPress //定义按键中断为OnKeyPress #pragma interrupt INTP5 OnKeyOver //定义INT中断为OnKeyOver void Init_Led(); void InitKey_INTKR(); void Init_Lcd(); void Init_Inter(); void LightOneLed(unsigned char ucNum); void LightOff(); int Count_Day(int month); char i=0; //定义变量i,是切换时间的标志 int key=0; //定义key=0 int temp=1; //用于存放当前月的天数 int temp1=1; int second=0; //默认的秒second=0 int minute=0; //默认的分minute=0 int hour=12; //默认的时hour=12 int day=1; //默认的天day=1 int month=5; //默认的月month=5 int year=2014; //默认的年year=2014 int c_hour=1; //默认的闹钟时=1 int c_minute=1; //默认的闹钟分=1 int buffs[2]; //秒的数码显示缓存区 int buffm[2]; //分的数码显示缓存区 int buffh[2]; //时的数码显示缓存区 int buffday[2]; //天的数码显示缓存区 int buffmonth[2]; //月的数码显示缓存区 int buffyear[4]; //年的数码显示缓存区 int buffmd[4]; //月,天的数码显示缓存区 int buffhm[4]; //时,分的数码显示缓存区 int buffms[4]; //分,秒的数码显示缓存区 int buffch[2]; //闹钟时的数码显示缓存区 int buffcm[2]; //闹钟分的数码显示缓存区 unsigned char Que = 0; //INT中断中间变量 int LCD_num[10]={0X070d,0x0600,0x030e,0x070a,0x0603,0x050b,0x050f,0x0700,0x070f,0x070b};// 数字0~~9的显示码 unsigned char Scond; //…………………………延时函数1……………………// void Delay(int k){ i nt i,j; f or(i=0;i

数字时钟设计原理

数字时钟设计——原理图一.实验目的 设计一个多功能数字中电路,基本功能为:①准确计时,以数字形式显示分、秒的时间;②分和秒的计时要求为60进位;③校正时间。 二.设计框图和工作原理 由振荡器产生高稳定的高频脉冲信号,作为数字钟的时间基准(系统时钟),再经分频器输出标准秒脉冲信号。秒计数器计满60后向分计数器进位,分计数器计满60后重新开始计时。计数器的输出经译码器送显示器。计时出现误差时可以用校时电路进行校分。 三.设计方案

1.振荡器的设计 振荡器是数字钟的核心。振荡器的稳定度及频率的精确度决定了数字钟计时的准确程度,通常选用石英晶体构成振荡器电路。一般来说,振荡器的频率越高,计时精度越高。 在这里我们选用由集成电路定时器555与RC组成的多谐振荡器。这里选用555构成的多谐振荡器,输出振荡频率v0=1KHz的脉冲,电路参数如下图所示。 2.分频器的设计 选用3片中规模集成电路计数器74LS90可以完成分频功能。因为每片为1/10分频,3片级联则可获得所需要的频率信号,即第1片的Q3端输出频率为100HZ,第2片的Q3端输出为10Hz,第3片的Q3端输出为1Hz。分频电路如下图所示:

3.分秒计数器的设计 分和秒计数器都是模M=60的计数器,其计数规律为:00-01-… -58-59-00…选74LS92作十位计数器,74LS90作个位计数器。再将它们级联组成模数M=60的计数器。分秒计数电路如下: 74LS90的原理图如下: 74LS92的原理图如下: 4.校时电路的设计 当数字钟接通电源或者计时出现误差时,需要校正时间(或称校时)。校时是数字钟应具备的基本功能。一般电子手表都具有时、分、秒等校时功能。为使

微机原理实习报告---电子时钟(用汇编语言实现)

河南农业大学 课程设计报告 题目:电子时钟显示设计 课程:微型计算机原理 专业:电子信息工程 班级: 学生姓名: 学号: 指导教师: 完成日期: 机电工程学院

目录 前言 (1) 第一章绪论 (2) 一、实习目的 (2) 二、实习要求 (2) 三、设计所需设备 (2) 第二章软件系统介绍 (3) 一、中断技术(或其它相关技术) (3) (一)介绍中断的概念中断技术 (3) (二)DOS及BIOS中断 (3) 二、汇编语言及汇编工具简介 (3) 三、软件介绍 (3) 第三章设计分析 (4) 一、软件设计思路 (4) 二、软件各模块内容 (4) (一)光标定位子程序原理 (4) (二)清屏原理 (4) (三)字符及字符串显示原理 (4) (四)压缩BCD转为ASCII码原理 (5) (五)动态扫描键盘原理 (5) 三、程序流程图设计 (5) 第四章系统实现 (7) 一、程序原代码清单及详细注释 (7) 二、实验结果 (15) 总结 (16) 一、软件的选取 (16) 二、图案的设计 (16) 三、程序调试 (16) 参考文献 (16)

前言 软件设计题目 电子时钟。(在计算机屏幕上显示当前系统的时间,日期,或时间和日期,3人一组)设计目的: 1.了解定时/计数器和中断(DOS/BIOS)的使用; 2.掌握定时/计数器和中断的工作原理和编程方法。 编程要求: 1.调用系统时间,在屏幕上显示出来; 2.获取键盘的按键值,判断键值并退出系统。 程序设计参考步骤: 第一部分:定义显示界面。 第二部分:调用系统时间(日期),并将调用的用二进制表示的时间数转换成ASCII码, 并将时间数(日期数)存入内存区。 第三部分:将存在系统内存区的时间数(日期数)用显示字符串的形式显示出来。 第四部分:获取键盘的按键值,判断键值并退出系统。

单片机时钟电路的设计

单片机时钟电路的设计 单片机内部虽有振荡电路,但要形成时钟必须在外总附加电路。 MCS-51单片机的时钟产生方法有如下两种。 1内部时钟方式 利用芯片内部的振荡电路,在XTAL1和XTAL2引脚上外接定时元件,内部振荡电路便产生自激振荡,用示波器可以观察到XTAL2输出时的时钟信号。 最常用的内部时钟方式是采用外接晶体(在频率稳定性要求不高而希望尽可能廉价时,可选用陶瓷谐振器)和电容组成的并联谐振回路,HMOS型和CHMOS型单片机和并联,谐振回路及参数相同。 振荡晶体可在1. 2MHz~12MHz之间。电容值无严格要求,但电容取值对振荡频率输出的稳定性、大小和振荡电路起振速度有少许影响,CX1和CX2可在20p~100pF间取值,但在60PF~70PF时振荡器有较高的频率稳定性。 在设计PCB板时,晶体或陶瓷谐振器和电容应尽可能靠近单片机芯片安装,以减少寄生电容,更好的保护振荡电路稳定可靠的工作。为了提高温度稳定性,采用NPO电容。2外部时钟方式 外部时钟方式是利用外部振荡信号源直接接入XRAL1或XTAL2。由于HMOS和CHMOS单片机内部时钟进入的引脚不同(CHMOS型单片同由XTAL1进入,HMOS 型单片机由XTAL2进入),其外部振荡信号源的接入方法也不同。HMOS型单片机的外部振荡信号接至XTAL2,而内部的反相放大器的输入端XTAL1应接地。由于XTAL2端的逻辑电平不是TTL的,故建议外接一个上拉电阻。而XTAL2不可以接地。 在CMOS电路中,因内部时钟引入端取自反相放大器的输入端(即与非门的输入端),故采用外部振荡信号源时接线方式与HNOS型有所不同,外部信号接至XTAL1,而XTAL2不可以接地。外部振荡信号通过去一个2分频的触发器而成为一个时钟信号。故对外部信号的占空比没什么要求,但高电平持续时间和低电平持续时间应大于20ns.

单片机电子时钟汇编语言程序

51单片机架构下时钟控制程序 ;KEY A A键功能程序开启/关闭定时器 ;KEYB B键功能程序时值加1 ;KEYC C键功能程序分值加1 ;KEYD D键功能程序秒值加1 ;KEYE E键功能程序12/24时值转换 ;BEEP_BL整点报时 ;P0 显示接口 ;系统初始化程序**************************************************** KEY A EQU P3.0 ;单片机控制设置 KEYB EQU P3.1 ;单片机控制设置 KEYC EQU P3.2 ;单片机控制设置 KEYD EQU P3.3 ;单片机控制设置 KEYE EQU P3.4 ;单片机控制设置 BEEP EQU P3.7 ;单片机控制设置 ORG 0000H AJMP MAIN ;转到系统初始化程序 ORG 000BH AJMP PITO ;转到定时器0中断服务程序 ORG 0100H MAIN: MOV SP, #60H ;确立堆栈区 MOV TMOD, #01H ;设定定时器0为工作方式1 MOV TL0, #0DCH ;装计数器初值 MOV TH0, #0BH CLR 21H.0 CLR TR0 ; TR0置"0",定时关闭 SETB EA ; EA置"1",中断总允许 SETB ET0 ; ET0置"1",定时器0中断 ; 允许 MOV 30H, #10H ; 循环次数 MOV 7EH, #0AH ; P.点显示初始化 MOV R0, #79H MOV R1, #05H PP: MOV @R0, #0BH INC R0 DJNZ R1, PP MOV R0, #31H ; 时、分、秒值存储单元清零

PC机实时时钟的设计剖析

1 PC机实时时钟的设计 利用PC机现有的硬件和软件资源设计程序,以实现在显示器上显示XX(时):XX(分):XX(秒)。 (1)设计思路 PC机系统板上使用一片8253,其地址为40H—43H,其通道0以方式3工作,每55ms 向中断控制器8259A IRQ0端发一次中断请求。本设计题要求借用原有电路,重新设置8253的计数器0每10ms产生一次中断,100次中断后加1秒,然后调整时、分、秒并显示在屏幕上。 (2)实时时钟的功能 按下任意键开始显示数据区中存放的时间值,并且每秒更新一次,运行中按下空格键则停止运行并返回DOS。程序中应保护原系统的08H中断矢量以便退出时恢复原系统的设置。 (3)题目的要求 ① PC机实时时钟的基本程序如附录一,请根据中断服务程序设计时钟时、分、秒调整的中断服务程序,然后调试实现时钟的基本功能 ②在原有程序基础上,增加键盘输入字符功能,可通过修改时、分、秒的数据,实现实时时钟可调整功能。 ③在原有程序基础上,设计美观的时钟显示方式及友好的操作方式。 附录一 STACK segment para stack 'stack' db 256 dup (0) stack ends data segment para public 'data' count db 100 tenh db '1' hour db '3',20h tenm db '1' minute db '0' db ':' tens db '5' second db '0',0dh,'$' data ends code segment para public 'code' start proc far

数字钟电路pcb设计

¥ 摘要 本设计针对数字钟PCB板设计较为复杂的问题,利用国内知名度较高、应用最广泛的电路辅助设计软件protel99se进行了电路板的设计。本设计介绍了各部分电路的构成及准确完成了数字钟PCB电路板的设计。本设计数字钟原理图分析入手,说明了在平台中完成原理图设计,电气检测,网络表生成,PCB设计的基本操作程序。数字钟的主要电路是由电源电路、显示电路、校时电路、晶体振荡电路组成。PCB是电子元器件的支撑体,是电子元器件电气连接的提供者。PCB的设计是以电路原理图为根据,实现电路设计者所需要的功能。优秀的版图设计可以节约生产成本,达到良好的电路性能和散热性能。 关键词:数字钟;PCB;原理图;芯片 — 【

目录 前言 (1) 第一章@ 第二章绪论 (2) 数字钟的研究背景和意义 (2) 数字钟的发展和趋势 (2) 第二章系统电路的绘制 (3) 电路组成方框图 (3) 电路原理图制作 (3) 原理图环境设置 (4) 绘制原理图 (5) $ 电气规则检查及网络表输出 (7) 原理图分析 (10) 晶体振荡器 (10) 分频器 (11) 计数器电路 (12) 显示和译码电路 (12) 电源电路 (13) 第三章电路板PCB设计 (14) , PCB设计规范 (14) PCB设计流程 (17) 输出光绘文件 (21) PCB制件作 (23)

心得体会 (25) 参考文献 (26) 附图 (27) 附表 (28) "

前言 PCB(Printed Circuit Board),中文名称为印制线路板,简称印制板,是电子工业的重要部件之一。几乎每种电子设备,小到电子手表、计算器,大到计算机,通讯电子设备,军用武器系统,只要有集成电路等电子元器件,为了它们之间的电气互连,都要使用印制板。在较大型的电子产品研究过程中,最基本的成功因素是该产品的印制板的设计、文件编制和制造。印制板的设计和制造质量直接影响到整个产品的质量和成本,甚至导致商业竞争的成败。 Protel系列电子设计软件是在EDA行业中,特别是在PCB设计领域具有多年发展历史的设计界软件,由于其功能强大,操作简单实用,近年来成为国内发展最快。 Protel 99已不是单纯的PCB(印制电路板)设计工具,而是由多个模块组成的系统工具,分别是SCH(原理图)设计、SCH(原理图)仿真、PCB(印制电路板)设计、Auto Router(自动布线器)和FPGA设计等,覆盖了以PCB为核心的整个物理设计。该软件将项目管理方式、原理图和PCB图的双向同步技术、多通道设计、拓朴自动布线以及电路仿真等技术结合在一起,为电路设计提供了强大的支持。 随着计算机事业的发展,在信息化时代,电路设计中的很多工作都可以用计算机来完成。这样就大大减轻了设计人员的体力劳动强度,并且保证了设计的规范性准确性。而Protel99SE技术已越来越为人们所关注,人们利用protel99SE绘制各种原理图,进而制作出各种各样的科技产品已经成为当今世界的一个不可或缺的组成部分,所以说Protel99SE技术已越来越显得重要。

主板时钟电路工作原理

时钟电路工作原理:3.3v电源经过二极管和电感进入分频器后,分频器开始工作,和晶体一起产生振荡,在晶体的两脚均可以看到波形。晶体的两脚之间的阻值在450---700欧之间。在它的两脚各有1V左右的电压,由分频器提供。晶体两脚常生的频率总和是14.318M。 总频(OSC)在分频器出来后送到PCI槽的B16脚和ISA的B30脚。这两脚叫OSC测试脚。也有的还送到南桥,目的是使南桥的频率更加稳定。在总频OSC线上还电容。总频线的对地阻值在450---700欧之间,总频时钟波形幅度一定要大于2V电平。如果开机数码卡上的OSC灯不亮,先查晶体两脚的电压和波形;有电压有波形,在总频线路正常的情况下,为分频器坏;无电压无波形,在分频器电源正常情况下,为分频器坏;有电压无波形,为晶体坏。 没有总频,南、北桥、CPU、CACHE、I/O、内存上就没有频率。有了总频,也不一定有频率。总频一定正常,可以说明晶体和分频器基本上正常,主要是晶体的振荡电路已经完全正常, 反之就不正常。 当总频产生后,分频器开始分频,R2将分频器分过来的频率送到南桥,在南桥处理过后送到P CI槽B8和ISA的B20脚,这两脚叫系统测试脚,这个测试脚可以反映主板上所有的时钟是否正常。系统时钟的波形幅度一定要大于1.5V,这两脚的阻值在450---700欧之间,由南桥提供。 在主板上RESET和CLK者是南桥处理的,在总频正常下,如果RESET和CLK都没有,在南桥电源正常情况下,为南桥坏。主板不开机,RESET不正常,先查总频。在主板上,时钟线 比AD线要粗一些,并带有弯曲。 二、主板时钟芯片电路及时序关系讲解 1、概述 主板时钟芯片电路提供给CPU,主板芯片组和各级总线(CPU总线,AGP总线,PCI总线,ISA总线等)和主板各个接口部分基本工作频率,有了它,电脑才能在CPU控制下,按步就班,协调地完成各项功能工作: 2、石英晶体多谐振荡器 a、解释说明,主板时钟芯片即分频器的原始工作振荡频率,由石英晶体多谐振荡器的谐振频率来产生,提供给分频率一个基准的14.318MHZ的振荡频率,它是一个多谐振荡器的正反馈环电路,也就是说它把输入作为输出,把输出作为输入的反馈频率,象这样一个永无休止的循环自激过程。 b、基本电路部分: c、分频器(时钟芯片)电路部分:分频器基本工作条件;石英晶体多谐振荡器提供14.318MHZ基准频率.;VCC(3.3V)工作电压(依具体时钟芯片而定);V SS接地线(~);滤波电容(对分频器产生的各级频率进行标正微调;分频器产生的各级总线时钟;CPU外部总线时钟频率(CPU CLOCK):66MHZ.100MHZ.133MHZ内存控制管理器总线时钟频率(DIMM):66MHZ.100.133MHZ;AGP总线时钟频率:66MH Z;PCI总线时钟频率:33MHZ;ISA总线时钟频率:8MHZ。 d、基本时序关系: CPU 66、100、133 PCI(33MHZ) ISA(8MHZ) 三、图解 频率发生器芯片

单片机电子时钟汇编语言程序

51单片机架构下时钟控制程序 ;KEYA A键功能程序开启/关闭定时器 ;KEYB B键功能程序时值加1 ;KEYC C键功能程序分值加1 ;KEYD D键功能程序秒值加1 ;KEYE E键功能程序12/24时值转换 ;BEEP_BL整点报时 ;P0 显示接口 ;系统初始化程序**************************************************** KEYA EQU P3.0 ;单片机控制设置 KEYB EQU P3.1 ;单片机控制设置 KEYC EQU P3.2 ;单片机控制设置 KEYD EQU P3.3 ;单片机控制设置 KEYE EQU P3.4 ;单片机控制设置 BEEP EQU P3.7 ;单片机控制设置 ORG 0000H AJMP MAIN ;转到系统初始化程序 ORG 000BH AJMP PITO ;转到定时器0中断服务程序 ORG 0100H MAIN: MOV SP, #60H ;确立堆栈区 MOV TMOD, #01H ;设定定时器0为工作方式1 MOV TL0, #0DCH ;装计数器初值 MOV TH0, #0BH CLR 21H.0 CLR TR0 ; TR0置"0",定时关闭 SETB EA ; EA置"1",中断总允许 SETB ET0 ; ET0置"1",定时器0中断 ; 允许 MOV 30H, #10H ; 循环次数 MOV 7EH, #0AH ; P.点显示初始化 MOV R0, #79H MOV R1, #05H PP: MOV @R0, #0BH INC R0 DJNZ R1, PP MOV R0, #31H ; 时、分、秒值存储单元清零

课程设计 实时时钟

单片机原理课程设计报告 题目:实时时钟 院(系) 专业 年级 姓名学号 指导教师 设计时间2013.11.25-2013.12.6

电子信息工程专业10级学生单片机原理课程设计任务书课程设计题目二、实时时钟 指导教师职称高级工程师 设计任务和要求: 1.基本要求 (1)采用DS1302作为实时时钟芯片进行计时 (2)读出DS1302中的时间数据 (3)能显示时间数据,包括年月日,时分秒 (4)显示方式不限 2. 设计步骤 (1) 使用Proteus按设计要求绘制电路图。 (2) 按要求编写相应程序。 (3) 使用Proteus仿真程序,对程序进行调试。 (4) 撰写课程设计报告 3.撰写课程设计报告 课程设计报告内容包括题目、摘要、目录、正文、结论、致谢、参考文献等。 学生在完成上述全部工作之后,应将全部内容以先后顺序写成设计报告一份,阐述整个设计内容,要求重点突出、特色鲜明、语言简练、文字通畅,字迹工整。报告书以A4纸打印,装订成册(文字不少于3000 字)。

目录 1. 设计要求与方法论证 (3) 1.1 设计要求 (3) 1.2 系统基本方案选择和论证 (3) 1.2.1 单片机芯片的选择方案和论证 (3) 1.2.2 显示模块选择方案和论证 (4) 1.3 电路设计最终方案决定 (4) 2. 系统的硬件设计与实现 (4) 2.1 电路设计框图 (4) 2.2 系统硬件概述 (5) 2.3 系统硬件模块 (5) 2.3.1 AT89C51 (5) 2.3.2 DS1302 (6) 2.3.3点阵式LCD (7) 3.系统的软件设计 (7) 4. 硬件电路调试 (7) 5. 结论 (9) 6. 致谢 (9) 参考文献 (10) 附录 (11)

单片机实时时钟电路的原理及应用

单片机实时时钟电路的原理及应用 1 引言现在流行的串行时钟电路很多,如DS1302、DS1307、PCF8485 等。这些电路的接口简单、价格低廉、使用方便,被广泛地采用。本文介绍的 实时时钟电路DS1302 是DALLAS 公司的一种具有涓细电流充电能力的电路, 主要特点是采用串行数据传输,可为掉电保护电源提供可编程的充电功能,并 且可以关闭充电功能。采用普通32.768kHz 晶振。 2 DS1302 的结构及工作原理DS1302 是美国DALLAS 公司推出的一种高性能、低功耗、带RAM 的实 时时钟电路,它可以对年、月、日、周日、时、分、秒进行计时,具有闰年补 偿功能,工作电压为2.5V~5.5V。采用三线接口与CPU 进行同步通信,并可 采用突发方式一次传送多个字节的时钟信号或RAM 数据。DS1302 内部有一个31×8的用于临时性存放数据的RAM 寄存器。DS1302 是DS1202 的升级产品,与DS1202 兼容,但增加了主电源/后背电源双电源引脚,同时提供了对后背电 源进行涓细电流充电的能力。 2.1 引脚功能及结构图1 示出DS1302 的引脚排列,其中Vcc1 为后备电源,VCC2 为主电源。在主电源关闭的情况下,也能 保持时钟的连续运行。DS1302 由Vcc1 或Vcc2 两者中的较大者供电。当Vcc2 大于Vcc1+0.2V 时,Vcc2 给DS1302 供电。当Vcc2 小于Vcc1 时,DS1302 由Vcc1 供电。X1 和X2 是振荡源,外接32.768kHz 晶振。RST 是复位/片选线,通过把RST 输入驱动置高电平来启动所有的数据传送。RST 输入有两种功能:首先,RST 接通控制逻辑,允许地址/命令序列送入移位寄存器;其次,RST 提供终止单字节或多字节数据的传送手段。当RST 为高电平时,所有的数据传 送被初始化,允许对DS1302 进行操作。如果在传送过程中RST 置为低电平, 则会终止此次数据传送,I/O 引脚变为高阻态。上电运行时,在Vcc≥2.5V之前,RST 必须保持低电平。只有在SCLK 为低电平时,才能将RST 置为高电平。

嵌入式软件开发基础实验报告 实时时钟

上海电力学院 嵌入式软件开发基础实验报告 题目:【ARM】实时时钟实验 专业:电子科学与技术 年级: 姓名: 学号:

一、实验目的 1、了解实时时钟的硬件控制原理及设计方法。 2、掌握S3C44B0X 处理器的RTC 模块程序设计方法。 二、实验设备 1、硬件:Embest EduKit-III 实验平台,Embest ARM 标准/增强型仿真器套件,PC 机。 2、软件:Embest IDE Pro ARM 集成开发环境,Windows 98/2000/NT/XP。 三、实验内容 学习和掌握 Embest EduKit-III 实验平台中RTC 模块的使用,进行以下操作: 1、编写应用程序,修改时钟日期及时间的设置。 2、使用EMBEST ARM 教学系统的串口,在超级终端显示当前系统时间。 四、实验原理 1. 实时时钟(RTC) 实时时钟(RTC)器件是一种能提供日历/时钟、数据存储等功能的专用集成电路,常用作各种计算机系统的时钟信号源和参数设置存储电路。RTC 具有计时准确、耗电低和体积小等特点,特别是在各种嵌入式系统中用于记录事件发生的时间和相关信息,如通信工程、电力自动化、工业控制等自动化程度高的领域的无人值守环境。随着集成电路技术的不断发展,RTC 器件的新品也不断推出,这些新品不仅具有准确的RTC,还有大容量的存储器、温度传感器和A/D 数据采集通道等,已成为集RTC、数据采集和存储于一体的综合功能器件,特别适用于以微控制器为核心的嵌入式系统。 RTC 器件与微控制器之间的接口大都采用连线简单的串行接口,诸如I2C、SPI、MICROWIRE和CAN 等串行总线接口。这些串口由2~3 根线连接,分为同步和异步。 2. S3C44B0X 实时时钟(RTC)单元 S3C44B0X 实时时钟(RTC)单元是处理器集成的片内外设。由开发板上的后备电池供电,可以在系统电源关闭的情况下运行。RTC 发送8 位BCD 码数据到CPU。传送的数据包括秒、分、小时、星期、日期、月份和年份。RTC 单元时钟源由外部32.768KHz 晶振提供,可以实现闹钟(报警)功能。 S3C44B0X 实时时钟(RTC)单元特性: BCD 数据:秒、分、小时、星期、日期、月份和年份 1、闹钟(报警)功能:产生定时中断或激活系统 2、自动计算闰年 3、无2000 年问题 4、独立的电源输入 5、支持毫秒级时间片中断,为RTOS 提供时间基准 读/写寄存器 访问 RTC 模块的寄存器,首先要设RTCCON 的bit0 为1。CPU 通过读取RTC 模块中寄存器BCDSEC、BCDMIN、BCDHOUR、BCDDAY、BCDDATE、BCDMON 和 BCDYEAR 的值,得到当前的相应时间值。然而,由于多个寄存器依次读出,所以有可能产生错误。比如:用户依次读取年(1989)、月(12)、日(31)、时(23)、分(59)、秒(59)。当秒数为1 到59 时,没有任何问题,但是,当秒数为0 时,当前时间和日期就变成了1990 年1 月1 日0 时0 分。这种情况下(秒数为0),用户应该重新读取年份到分钟的值(参考程序设计)。

60s计时器的设计与实现

电子系统设计创新实验 报告 题目60s计时器的设计与实现 学生姓名高权黄盼徐传武易孟华 学生学号016321232404 07 14 15 专业名称电子信息工程 指导教师肖永军 2016年11月17 日

设计要求: 1、利用单片机定时器/计数器T0中断设计秒表。 2、实现基本的0-60秒计时。 3、以数码管作为显示器件,用单片机进行控制。

摘要 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字秒表,用AT89C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件晶振电路,复位电路,数码管显示电路来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 关键字:AT89C51 单片机数码管

一、系统总体设计 系统总体设计框图如图1所示,该系统共由时钟电路模块、复位电路模块、AT89C51单片机及数码管显示电路组成。其中主控制器用于系统控制,可以控制电路的开关的功能,系统中AT89C51单片机作为主控元件,计数器显示电路由数码管和驱动电路组成。 图1 系统总体设计框图 二、系统硬件设计 (1)复位电路 采用上电+按键复位电路,上电后,由于电容充电,使RST持续一段高电平时间。当单片机已在运行之中时,按下复位键也能使用使RST 持续一段时间的高电平,从而实现上电加开关复位的操作。这不仅能使单片机复位,而且还能使单片机的外围芯片也同时复位。当程序出现错误时,可以随时使电路复位。 复位电路如图2所示:

用数码管显示实时日历时钟的应用设计

(用数码管显示实时日历时钟的应用设计)

摘要 本课题通过MCS-51单片机来设计电子时钟,采用汇编语言进行编程,可以实现以下一些功能:小时,分,秒和年,月,日的显示。本次设计的电子时钟系统由时钟电路,LED显示电路三部分组成。51单片机通过软件编程,在LED数码管上实现小时,分,秒和年,月,日的显示;利用时钟芯片DS1302来实现计时。本文详细介绍了DS1302 芯片的基本工作原理及其软件设计过程,运用PROTEUS软件进行电路连接和仿真,同时还介绍了74LS164,通过它来实现I|O口的扩展。 关键词:时钟芯片,仿真软件,74LS164 目录 前言 0.1设计思路 (8) 0.2研究意义 (8)

一、时钟芯片 1.1 了解时钟芯片……………………………………………….8-9 1.2 掌握时钟芯片的工作原理………………………………….10-11二、74LS164 2.1 了解74LS164........................................................11-12 2.2 掌握的74LS164工作原理. (12) 三、数码管 3.1 熟悉常用的LED数码管...........................................12-13 3.2 了解动态显示与静态显示. (13) 四、程序设计 4.0 程序流程图 (14) 4.1 DS1392的驱动.......................................................15-16 4.2 PROTUES实现电路连接. (17) 4.3 数码管的显示:小时;分;秒 (18) 4.4 数码管显示:年;月;日 (19) 五、总结…………………………………………………………………..20-21 六、附页程序………………………………………………………………22-31前言

时钟电路基本原理

1时钟供电组成 时钟电路主要由时钟发生器(时钟芯片)、、、和等组成。 ● 时钟芯片时钟芯片主要有S. Winbond、 PhaseLink. C-Medi a、IC. IMI等几个品牌,主板上见得最多的是ICS和Winbond两种,如图6-1、图6-2所示。 ● 晶振 时钟芯片通常使用的晶振,如图6-3所示。 晶振与组成一个谐振回路,从晶振的两脚之问产生的输入到时钟芯片,如图6-4所示。 判断品振是否工作,可以用测量晶振两脚分别对地是否有(以上),这是晶振工作的前提条件,再用示波器测量晶振任意一脚是否有与标称频率相同的振荡正弦波输出(这是最准确的方法)。在没有示波器的情况下,可以直接更换新的晶振和谐振电容,用替换法来排除故障。 2 时钟电路工作原理 时钟电路的1=作原理图,如图6-5所示。 时钟芯片有电压输入后(有的时钟芯片还有一组电压),再有一个好信号,表示主板各部位所有的供电止常,于是时钟芯片开始工作。 晶振两脚产生的基本频率输入到时钟芯片内部的,从振荡器出来的基本频率经过“频率扩展锁相网路”进行频率扩展后输入到各个,

最后得到不同频率的时钟输出。 初始默认输出频率由频率选择锁存器输入引脚FS(4:0)设置,之后可以通过IIC总线再进行设置。 多数时钟芯片都支持IIC总线控制,通过一根双向的数据线(SD ATA)和一根时钟线( SCLK)对芯片的时钟输出频率进行设置。 图6-5中: 48MHz USB与48MHz DOT为固定48MHz时钟输出;3V66(3:1)共3组为的66MHz时钟输出: CPUCLKT (2:0)共3组为CPU时钟输出;CPUCLKC (2:0)共3组为CPU时钟输出,与CPUCLKT互为;CLK (6:0)共7组为 33MHz 的PCI时钟输出,输出到PCI插槽,有多少个PCI插槽就使用多少组。 主板的时钟分布如图6-6所示,内存总线时钟由北桥供给,部分主板电路设计有独立的内存时钟发生器,如图中虚线所示。 外频进入CPU后,乘以CPU的就是CPU实际的运行频率。例如外频是200MHz,CPU的倍频是14,那么CPU的实际运行频率是:200MHz ×14=。前端总线的频率是外频的整倍数。例如外频足133MHz,CPU 需要使用的前端总线频率是533MHz,那么就必须将133MHz外频4倍扩展,即133MHz×4=532MHz≈533MHz。 3 时钟电路故障检测 时钟电路故障通常足:全部无时钟,部分无时钟,时钟信号幅值(最高点电压)偏低。 其表现是开机无显示或不能开机。 诊断卡只能诊断PCI插槽或插槽有无时钟信号,并不代表主板其他部分的时钟就正常。最好使用示波器测量各个插槽的时钟输入脚或时钟芯片的各个时钟输出脚,看其频率和幅值是否符合,这是最准确的方法。 现在的CPU外频都已达到200MHz或更高,所以要测量CPU外频,要求示波器的带宽应在200MHz以上。

基于汇编语言编写的数码管显示时钟程序

通信工程12-01 (郑州轻工业学院) 如图用汇编语言编写的可调时钟(用定时器定时,不精准) ,此程序只是提供一个idea 操作:最上面的键是开始调整键,后面依次为时分秒的切换键、加键、减键、调整完成键。 程序如下: SHI EQU R2 FEN EQU R3 MIAO EQU R4 CHANGE EQU R5 ORG 00H LJMP MAIN ORG 000BH //中断入口 LJMP ITOR ORG 60H MAIN: MOV TMOD,#01H //初始化定时器 MOV TH0,#3CH MOV TL0,#0B0H //50mS XTAL 2 RST ALE P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 F0.1/AD1 F0.2/AD2 F0.3/AD3 F0.4/AD4 F0.5/AD5 F0.6/AD6 F0.7/AD7 P2.0/A8 P2.1/A9 F2.2/A10 F2.3/A11 F2.4/A12 F2.5/A13 F2.6/A14 F2.7/A15 F3.0/RXD F3.1/TXD F3.2/INT0 F3.3/INT1 P3.4/T0 P3.5/T1 P3.6/WR I P3.7/R^—

SETB ET0 SETB EA CLR F0 // 键盘设定标志位 MOV R0,#00H MOV R1,#00H MOV P0,#0FFH MOV SHI,#00H MOV FEN,#00H MOV MIAO,#00H MOV CHANGE,#00H SETB TR0 // 打开定时器 M1: LJMP KEY // 键盘扫描 M2: LCALL INIT // 动态显示LJMP M1 INIT: MOV A,SHI // 显示函数 MOV B,#0AH DIV AB MOV 40H,A MOV 41H,B CLR P2.0 CLR P2.1 CLR P2.2

台式机时钟电路的工作原理浅析

台式机时钟电路的工作原理浅析: DC3。5V电源给过二极管和L1(L1可以用0欧电阻代替)进入分频器后,分频器开始工作。,和晶体一起产生振荡,在晶体的两脚均可以看到波形。晶体的两脚之间的阻值在450-700之间。在它的两脚各有1V左右的电压,由分频器提供。晶体产生的频率总和是14。318M。总频OSC在分频器出来后送到PCI的B16脚和ISA的B30脚,这两脚叫OSC测试脚。也有的还送到南桥,目的是使南桥的频率更加稳定。在总频OSC的线上还有电容,总频线的对地阻值在450-700欧之间。总频的时钟波形幅度一定要大于2V。如果开机数码卡上的OSC灯不亮,先查晶体两的电压和波形。有电压有波形,在总频线路正常的情况下,为分频器坏;无电压无波形,在分频器电源正常的情况下,为分频器坏;有电压无波形为晶体坏。没有总频,南、北桥、CPU、CACHE、I/O、内存上就没有频率。有了总频,南、北桥、内存、CPU、CACHE、I/O上不一定有频率。总频一旦正常,分频器开始分频,R2将分频器分过来的频率送到南桥,在面桥处理过后送到PCI的B39脚(PCICLK)和ISA的B20脚(SYSCLK),这两脚叫系统时钟测试脚。这个测试脚可以反映主板上所有的时钟是否正常。系统时钟的波形幅度一定要大于1。5V,这两脚的阻值在450-700欧之间,由南桥提供。在主板上,RST和CLK都是由南桥处理的,在总频正常,如果RST和CLK都没有,在南桥电源正常的情况下,为南桥坏。 主板不开,RST不正常,是先查总频。在数码卡上有OSC灯和RST灯,没有CLK灯的故障:先查R3输出的分频有没有,没有,在线路正常的情况下,分频器坏。CLK的波形幅度不够:查R3输出的幅度够不够,不够,分频器坏。够,查南桥的电压够不够,够南桥坏;不够,查电源电路。R1将分频器分过来的频率送给CPU的第六脚(在CPU上RST脚旁边,见图纸),这个脚为CPU 时钟脚。CPU如果没有时钟,是绝对不会工作的,CPU的时钟有可能是由北桥提供。如果南桥上有CLK信号而CPU上没有,就可能是分频器或南桥坏。R4为I/O提供频率。 在主板上,时钟线比AD线要粗一些,并带有弯曲。频率发生偏移,是晶体电容所导致的,它的现象是,刚一开机就会死机,运行98出错。分频器本身坏了,会导致频率上不上去。和晶体无关。CPU 的两边为控制处(位置见图),控制南桥和分频器,当频率发生偏移,会自动调整。 说明:此文选自江湖郎中主板维修,看后大有受益,推荐文友浏览。绝无剽窃之意。

实时时钟芯片应用设计时必须要考虑的事项

实时时钟芯片应用设计时必须要考虑的事项 总述 实时时钟芯片(RTC)允许一个系统能同步或记录事件,给用户一个易理解的时间参考。由于RTC的应用越来越广泛,为了避开设计时出现的问题,设计者应熟悉RTCs。 选择接口 RTC可用的总线接口范围很宽。串行接口包括2线(I2C),3线和串行外设接口(SPI)。并行接口包含多总线(多数据和地址线)和带单独地址及字节数据输入的设计。接口的选择通常由所用的处理器类型决定,很多处理器包括2线或SPI接口。其它的,如8051处理器及其派生的处理器支持多路地址和数据总线。时间保持非易失性(NV)RAM和SRAM用相同的控制信号,许多处理器都提供这种方便的接口,也包括各种不同的用电池组支持的RAM。最后,看不见的时钟隐藏电池供电的RAM中并可用64位的软件协议去访问时钟。 备用电池的功能 在有的应用中,例如VCRS,如果去掉电源,会丢失时间和日期信息,。许多新的应用中,即使主电源去掉了,要求时间和日期信息应保持有效。为了保持时钟晶振运行,要用到一个主电源或者备用电源,或者一个大容量的电容。在这种情况下,时钟芯片必须能够在两个电源之间进行切换。 如果有一个电池,例如钮扣型锂电池用作备用电源,当在用备用电源工作时RTC应设计成尽可能少的消耗功耗。电源切换电路,一般情况下由主电源供电,会使电源切换到电池供电,并使RTC进入低功耗模式。微处理器和RTC之间的通信通常锁定(称为写保护),用来使电池供电电流最小和防止数据损坏。 许多时钟芯片都包括一个晶振控制位,通常称之为时钟中断(CH)或是晶振使能位(/EOSC)。此位通常位于秒寄存器或控制寄存器的最高位(位7),几乎在有这位的所有时钟芯片中,初始电池上的首选状态对于晶振来说是无效的。这允许系统设计者提出制造流程,在安装和测试后,用Vbat进行供电,通常用个锂电池。此时晶振处于一个停止状

多功能数字钟电路设计

多功能数字钟电路设计 一、数字电子钟设计摘要 (2) 二、数字电子钟方案框图 (2) 三、单元电路设计及相关元器件的选择 (3) 1.6进制计数器电路的设计 (3) 2.10进制计数器电路的设计 (4) 3.60进制计数器电路的设计 (4) 4.时间计数器电路的设计 (5) 5.校正电路的设计 (6) 6.时钟电路的设计 (7) 7.整点报时电路设计 (8) 8. 译码驱动及单元显示电路 (9) 四、系统电路总图及原理 (9) 五、经验体会 (10) 六、参考文献 (10) 附录A:系统电路原理图 附录B:元器件清单

一、数字电子钟设计摘要 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。且由于数字钟包括组合逻辑电路和时叙电路。通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 二、数字电子钟方案框图 图1 数字电子钟方案框图

三、单元电路设计和元器件的选择 1. 6进制计数器电路的设计 现要设计一个6进制的计数器,采用一片中规模集成电路74LS90N芯片,先接成十进制,再转换成6进制,利用“反馈清零”的方法即可实现6进制计数,如图2所示。 图2

2. 10进制电路设计 图3 3. 60 进数器电路的设计 “秒”计数器与“分”计数器都是六十进制,它由一级十进制计数器和一级六进制计数器连接而成,如图4所示,采用两片中规模集成电路74LS90N串接起来构成“秒”“分”计数器。

相关文档