文档库 最新最全的文档下载
当前位置:文档库 › 基于FPGA的PWM发生器的研究与设计(DOC)

基于FPGA的PWM发生器的研究与设计(DOC)

基于FPGA的PWM发生器的研究与设计(DOC)
基于FPGA的PWM发生器的研究与设计(DOC)

基于FPGA的PWM发生器的研究与设计

摘要

PWM(脉冲宽度调制)是一种利用数字信号来控制模拟电路的控制技术,广泛应用于电源、电机、伺服系统、通信系统等电力电子设备。PWM技术在逆变电路中的应用最为广泛,也是变频技术的核心,同时在机床,液压位置控制系统等机械装置中也发挥着重要的作用。PWM技术已经成为控制领域的一个热点,因此研究PWM发生器有十分重要的意义。

论文研究的主要内容是用任意波形作为调制信号通过特定的方法来产生所需要的PWM波形,任意波形的合成和PWM波形的生成是两个主要任务。波形合成采用直接数字频率合成(DDS)技术来实现。DDS技术以相位为地址,通过查找离散幅度数据进行波形合成,具有输出波形相位变化连续、分辨率高、频率转换速率快的优点,而且通过设置控制字可灵活方便地改变输出频率,是目前波形合成的主流方法。

实现PWM发生器的设计方法有多种,本文采用现场可编程门阵列(FPGA)来实现PWM波的产生。FPGA的设计是以Altera公司的Quartus II软件为开发平台,采用VHDL语言为来完成内部各功能模块的设计输入、编译、仿真等调试工作,目标载体选用Altera公司的CycloneIII系列器件。

关键词:脉冲宽度调制;直接数字频率合成;现场可编程门阵列

1.PWM发生器的现状及发展

从信号的产生方法来说,工程上常见的PWM波产生方法有:

1.三角波比较法

三角波比较法根据三角载波与正弦调制波的交点来确定逆变器功率开关器件的开关时刻,可以由模拟电子电路、数字电子电路或专用大规模集成电路芯片等硬件实现,也可以用微型计算机通过软件生成SPWM。

2.滞环比较法

该方法较多应用于电流跟踪控制。此法的优点是硬件电路简单,属于实时控制方式,反应快,不用载波,输出中不含特定频率谐波分量。其不足之处是检测信号的传感器必须是具有宽频带的高性能传感器

3.空间电压矢量法

空间电压矢量法(SVPWM)也叫磁通正弦PWM法。它以三相对称正弦波电压供电时交流电动机的理想磁通圆为基准,用逆变器不同的开关模式所产生实际磁通去逼近基准圆磁通,由它们的比较结果决定逆变器的开关,形成PWM波形。

4.单周控制法

单周控制法作为一种新型非线性控制技术提出,它可应用于PWM控制、软开关等。这种方法的基本思想是在每个周期内强迫开关变量的平均值与控制参考量相等或成比例。

从PWM信号发生器设计方法的改进和发展来看,可以大致分为三个阶段:1.基于分立器件的阶段

在这一阶段,受器件生产工艺、集成度和计算机应用水平的影响,PWM发生器的设计方法主要采用电阻、电容、晶体管电路完成,模拟电子技术的应用占主导地位,PWM信号的产生主要由分立元件组成的控制电路完成。

2.基于控制器的阶段

二十世纪七十年代以后,随着芯片制造水平和软件应用技术的提高,PWM 发生器的设计越来越多地采用基于控制器的方法来实现。在这里把微控制器(主要指各类单片机)、数字信号处理器(DSP)、工业控制计算机等笼统称为控制器,其中单片机是应用非常广泛的控制器之一。但单片机由于本身结构所引起的运行

速度慢、无法提供更高的时钟频率等缺点制约了PWM波形信号的精度和频率。即便是采用DSP作为控制器,系统的运行速度虽然会得到很大的提高,但对于高频的PWM信号仍然难以完成,而且对于用任意波形来调制产生PWM波形难度也比较大。

3.基于全数字化设计方法的阶段

EDA技术被誉为二十一世纪的十大技术之一。PWM信号发生电路以及其它电路的设计越来越多地采用基于大规模可编程逻辑器件的设计方法,其中基于现场可编程门阵列(FPGA)和复杂可编程逻辑器件(CPLD)这两大类器件的设计成为主流。依靠PLD器件所提供的高频时钟和丰富的可编程资源,PWM信号发生器主体电路可完全在一片器件内部完成,提高了系统的集成度和抗干扰能力,降低了功耗和成本,同时也能非常容易地实现用任意波形(可以在PLD内部实现DDS电路)来调制产生PWM信号,信号的输出频率也能达到很高。

2.相关技术与工具介绍

2.1技术简介

2.1.1DDS技术

DDS技术是建立在采样定理础上的。DDS的关键部分是相幅转换部分,根据相幅转换方式的不同,DDS大致分为两类。ROM查询表法:ROM中存储有不同相位对应的幅度值,相位累加器输出的相位值寻址ROM,ROM输出对应的幅度序列,实现相幅转换。计算法:对相位累加器输出的相位值通过数学计算的方法得到对应的幅度值,实现相幅转换。基于ROM查询表法的DDS电路设计十分常用,电路一般由相位累加器、移相累加器、ROM波形表存储器组成。其工作原理是:由相位累加器完成相位地址的累加,累加的一方是相位每次变化的长度,另一方是累加器上一次输出结果的反馈值。相位累加器的输出一方面反馈到输入端作为下一次累计的一个输入,另一方面根据需要进行合理的截断,将截断后的地址送入移相累加器;移相累加器的主要作用是根据需要改变波形的初始相位,

累加的一方是改变初始相位的数据,另一方是相位累加器截断后的输出。移相累加器的输出作为地址寻址ROM 波形表存储器,查询并输出对应的波形幅值数据。设DDS 输出波形的频率为out f ,采样时钟的频率为clk f ,相位累加器的位数为N ,

相位地址每次变化的长度为M 。DDS 技术的核心思想就是相位地址到波形幅度的映射。M 为相位地址的步进值,每累加一次M ,相位地址就发生变化,寻址存储器所得到的波形幅度的值也就不同。M 取值越大,步进的速度越快,被采样波形发生改变的速度也就越快,因此波形的输出频率也就越高。由此可知,out f 取决于三个因素:每次相位步进的长度M ,即相位增加或累积的相位间隔,称之为频率控制字;系统采样频率,一般用系统时钟clk f 来充当;相位地址的二进制位数,也即相位累加器的位数N 。三者存在如下关系:

2

clk out N M f f ?= 一个系统正常工作时,其系统时钟频率clk f 固定不变,其它部分工作所需时钟频率可通过对系统时钟分频得到,所以在DDS 电路中决定输出波形频率等参数的主要因素是频率控制字M 和相位累加器的位数N 。

2.1.2 PWM 技术

PWM 控制技术的理论基础源于控制理论中的一个重要结论,其一般表述为:冲量相等但形状不同的窄脉冲加在具有惯性的环节上效果基本相同。冲量即指窄脉冲的面积。这里所说的效果基本相同,是指环节的输出响应波形基本相同。低频段非常接近,仅在高频段略有差异。

如图2-1 a)、b)、c)、d)所示,将形状不同而冲量相同的电压窄脉冲作为输入加在惯性环节R-L 电路上,如图2-2 a)所示。i(t)为电路的输出,图2-2 b)给出了不同窄脉冲时i(t)的响应波形。从波形可以看出,在i(t)的上升段,脉冲形状不同时i(t)的形状也略有不同,但其下降段则几乎完全相同。脉冲越窄,各i(t)波形的差异也越小。如果周期性地施加上述脉冲,则响应i(t)也是周期性的。用傅里叶级数分解后将可看出,各i(t)在低频段的特性将非常接近,仅在高频段有所不同。

简单来说,这种控制技术的本质是“等效”,即只要窄脉冲所围成的面积相等,则系统的响应或者输出波形的形状基本相同。PWM 控制技术就是对半导体开关器件的导通和关断进行控制,使输出端得到一系列幅值相等而宽度不相等的脉冲,用这些脉冲来代替正弦波或其他所需要的波形。

2.2 工具软件

2.2.1 Quartus II 开发平台

Quartus II 是Altera 公司的综合性PLD/FPGA 开发软件,支持原理图、VHDL 、VerilogHDL 以及AHDL (Altera Hardware Description Language )等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD 设计流程。

Quartus II 支持Altera 的IP 核,包含了LPM/MegaFunction 宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA 工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA 工具。

2.2.2ModelSim仿真软件

Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件。

2.2.3波形数据生成器

由于本文采用的是DDS技术来生成PWM波形,因此需事先生成所需的波形数据文件,这里采用康欣电子科技有限公司所编写的Mif Maker波形数据生成器来将任意波形数字化,将波形的幅值和相位信息存入mif文件中。

3.FPGA的模块设计

3.1DDS模块设计

DDS电路的主要任务是对波形数据存储器进行寻址和合成,由DDS的实现原理可知,相位累加器(Phase Accumulator)是DDS电路设计的关键之一。相位地址的改变可以通过加法运算来完成,因此这部分主要是加法器电路的设计。一轮累加结束,即加法器产生溢出时的频率就是输出波形的频率。

以正弦波为例,首先用前述的波形生成器生成所

需的波形数据,生成相位宽度为10位,波形的位深为

1024位,初始相位为0的正弦波,并采用有符号十进

制格式进行存储(如图1)。三角波也采用相同的方式

生成。

之后按照所生成的波形数据设定波形存储器的地

址宽度为10,数据长度为1024,并将之前所生成的波

形文件导入波形存储器中(如图2)。

图1

本设计运用DDS 的思想并将其简化,考虑到FPGA 可编程的特点,省去移向累加器,将10位加法计数器作为相位累加器与波形存储器直接相连进行相位寻址(如图3)。当需要改变波形相位时只需改变波形数据的初始相位即可,使得电路的复杂程度大大降低,节省FPGA 芯片资源。由于加法计数在每个时钟周期每次加1,因此该设计的频率控制字为1,根据上文的公式可知,输出波形的频率为1024clk out f f

,即只与时钟频率有关,通过调节时钟频率即可调节输出波形的频率。

图2

图3

3.2 时钟模块设计

显而易见,载波和调制波的时钟频率不同,若直接采用外部信号输入则需要提供两个频率不同的时钟信号,由于该设计的载波和调制波的频率只由时钟频率决定,所以需要改变波形频率时就必须改变外部时钟频率,这就带来了不小的麻烦,并且外部时钟信号较难达到极高的频率。该FPGA 芯片中自带2个pll ,即锁相环,本设计中采用锁相环来为两个相位累加器和波形数据存储器提供时钟信号,该锁相环的输入时钟信号频率选定为20MHz ,如此只需向该芯片提供一个固定的时钟信号即可(如图4)。

当该发生器需要调整载波和调制波的频率时,只需改变锁相环的分频数或倍频数即可实现,同时也可轻易的改变两个时钟信号的占空比(如图5)。

图4

图5

3.3 数字比较器设计

本设计采用的是比较法来生成PWM 波,因此只需使用比较器比较三角波和正弦波的幅值,当正弦波幅值小于等于三角波幅值时,比较器输出高电平,当正弦波幅值大于三角波幅值时则输出低电平,由此即得到了PWM 波。由于波形数据采用的是有符号十进制存储,正弦波与三角波幅值有正有负,因此比较器设定的是带符号比较(如图6)。

4. 设计仿真

将所设计的各个模块连接起来之后,开始进行编译,编译通过后软件会生成vho 文件,打开ModelSim 软件,新建一个工程,将刚刚生成的文件添加到该工程中,然后进行编译,将编译得到的库文件进行仿真后,将所需观察的管脚拖入波形窗口中(如图7)。

图6

图7

由于锁相环的时钟频率为20MHz,所以将外部时钟信号的周期设定为50ns,开始运行仿真。等待一段时间后得到仿真波形(如图8)。

图8

5.总结

本设计优点在于集成度高,全数字化,以往的PWM发生器采用DSP、单片机等作为载波或调制波发生器,FPGA只作为A/D转换及比较模块,这增加了系统的复杂性,同时存在着较多时序上的问题,也很大程度上浪费了FPGA的内部资源。本设计将波形的产生全部集成于FPGA内部,大大提高了芯片的利用率,也减少了各个模块之间的时序问题。由于FPGA可再编程,因此可以较容易的通过改变各个模块参数及波形数据来改变PWM波。

与此同时,该设计也存在一些不足之处。虽然载波与调制波是双极性的,但由于比较器只能输出0、1两个电平,因此无法实现双极性输出,可能需要外部电路进行修正。FPGA的数字信号电压较低,无法直接驱动外部电路,因此还需设计一个驱动电路与之相连。由于FPGA开发软件是在全数字环境下进行的,因此无法进行模拟电路的设计与仿真,也无法与其他模拟电路的仿真软件进行联合仿真,只能用实际电路来验证,因此该设计在实际应用上还存在一定差距。

PWM信号发生器的设计程序(veriloghdl)

PWM信号发生器的设计程序 module pwmgen(clk,rst,ce,addr,write,wrdata,read,bytesel,rddata,pwm); input clk,rst,ce; input [1:0]addr; input write,read; input[31:0]wrdata; output[31:0]rddata; input[31:0]bytesel; output pwm; reg[31:0]clk_div_reg,duty_cycle_reg; reg control_reg; reg clk_div_reg_sel,duty_cycle_reg_sel,control_reg_sel; reg[31:0]pwm_cnt,rddata; reg pwm; wire pwm_ena; always@(addr) begin clk_div_reg_sel<=0;duty_cycle_reg_sel<=0;control_reg_sel<=0; case(addr) 2'b00:clk_div_reg_sel<=1; 2'b01:duty_cycle_reg_sel<=1; 2'b10:control_reg_sel<=1; default: begin clk_div_reg_sel<=0; duty_cycle_reg_sel<=0; control_reg_sel<=0; end endcase end always@(posedge clk or negedge rst) begin if(rst==1'b0) clk_div_reg=0; else begin if(write & ce & clk_div_reg_sel) begin if(bytesel[0]) clk_div_reg[7:0]=wrdata[7:0]; if(bytesel[1]) clk_div_reg[15:8]=wrdata[15:8];

PWM信号发生电路

1.PWM信号概述 脉冲宽度调制(PWM)信号广泛使用在电力变流技术中,以其作为控制信号可完成DC-DC变换(开关电源)、DC-AC变换(逆变电源)、AC-AC变换(斩控调压)与AC-DC变换(功率因数校正)。 产生PWM信号的方法有多种,现分别论述如下: 1)普通电子元件构成PWM发生器电路 基本原理就是由三角波或锯齿波发生器产生高频调制波,经比较器产生PWM信号。三角波或锯齿波与可调直流电压比较,产生可调占空比PWM信号;与正弦基波比较,产生占空比按正弦规律变化的SPWM信号。 此方法优点就是成本低、各环节波形与电压值可观测、易于扩展应用电路等。缺点就是电路集成度低,不利于产品化。 2)单片机自动生成PWM信号 基本原理就是由单片机内部集成PWM发生器模块在程序控制下产生PWM 信号。 优点就是电路简单、便于程序控制。缺点就是不利于学生观测PWM产生过程,闭环控制复杂与使用时受单片机性能制约。 3)可编程逻辑器件编程产生PWM信号 基本原理就是以复杂可编程逻辑器件(CPLD)或现场可编程门阵列器件(FPGA)为硬件基础,设计专用程序产生PWM信号。 优点就是电路简单、PWM频率与占空比定量准确。缺点就是闭环控制复杂,产生SPWM信号难度大。 4)专用芯片产生PWM信号 就是生产厂家设计、生产的特定功能芯片。 优点就是使用方便、安全,便于应用到产品设计中。缺点就是不利于学生观测PWM产生过程与灵活调节各项参数。 2.电子元件构成PWM发生器电路

图1电子元件构成PWM发生器电路 3.集成芯片SG3525构成PWM发生器电路 一、PWM信号发生电路说明 实验电路中,驱动开关管的PWM信号由专用PWM控制集成芯片SG3525产生(美国Silicon General公司生产),PWM信号发生器电路如图2所示。 图2 PWM信号发生器电路图 SG3525采用恒频脉宽调制控制方案,内部包含有精密基准源、锯齿波振荡器、误差放大器、比较器、分频器与保护电路等。调节Ur的大小,在OUTA、OUTB

PWM信号发生器的设计报告

前言 脉冲宽度调制(Pulse Width Modulation.PWM)控制技术以其控制简单、灵活和动态响应好的优点而成为电力电子技术和模拟信号数字传输通信领域最广泛应用的控制方式,因此研究基于PWM技术的脉冲宽度及周期可调的信号发生器具有十分重要的现实意义。 本文主要讨论了脉冲占空比可调信号的产生方法,采用三种不同的方案使用VHDL语言编程实现了信号的产生。其中方案一的原理是分频,即用计数器计算时钟脉冲的上升沿个数,再通过输出电平反复翻转得到计数个数(脉冲宽度)可控的PWM 信号;方案二的原理是锯齿波比较法,首先编程产生阶梯状的锯齿波,再通过锯齿波与输入占空比值(数值可控的直线)比较产生脉冲宽度随输入占空比数值变化的PWM 信号;方案三是用有限状态机产生有用信号,首先定义两个状态,再通过计数器值与输入占空比值比较控制状态的切换,产生PWM信号。本文详细介绍方案二和方案三两种方法。 通过使用QuartusII9.0软件采用VHDL语言编程并用功能仿真证实了上文提到的三种PWM信号产生方案都是可行的,都能产生切实可用的PWM信号,三种方案中均可以通过修改输入端口占空比来控制产生信号的脉宽,且可以通过在程序中修改计数器的计数上限和分频模块的分频比改变信号的周期及频率,实现了多参数可调,使整体设计具有灵活的现场可更改性和较好的可移植性。且实现功能的程序简单易懂,设计过程中思路阐述清晰,流程介绍明了,且程序易于修改,可读性好。

第一章设计要求 1.1 研究课题 PWM信号发生器的研制 1.2设计要求 用CPLD可编程模块产生下列信号(特殊芯片:EPM570T100C5) (1)采用VHDL编写相关程序,PWM信号的工作频率为500Hz(1000Hz); (2)时钟信号通过分频器后,由输入开关量控制占空比可调。

pwm波信号发生器

电子技术综合训练 设计报告 题目:PWM信号发生器的设计 姓名: 学号: 班级: 同组成员: 指导教师: 日期: 摘要 本次课程设是基于TTL系列芯片的简易PWM信号发生器,PWM信号发生器应用所学的数字电路和模拟电路的知识进行设计。在设计过程中,所有电路仿真均基于Multisim10仿真软件。本课程设计介绍了PWM信号发生器的设计方案及其基本原理,并着重介绍了PWM信号发生器各单元电路的设计思路,原理及仿真,整体电路的的工作原理,控制器件的工作情况。设计共有三大组成部分:一是原理电路的设计,本部分详细讲解了电路的理论实现,是关键部分;二是性能测试,这部分用于

测试设计是否符合任务要求。三是是对本次课程设计的总结。 关键字: 目录 1 设计任务和要求…………………………………………………………? 1.1设计任务……………………………………………………………? 1.2设计要求…………………………………………………………….? 2 系统设计…………………………………………………………………? 2.1系统要求…………………………………………………………….? 2.2方案设计……………………………………………………………? 2.3系统工作原理……………………………………………………….? 3 单元电路设计……………………………………………………………? 3.1 单元电路A(单元电路的名称) ……………………………………? 3.1.1电路结构及工作原理……………………………………………? 3.1.2电路仿真…………………………………………………………?

3.1.3元器件的选择及参数确定……………………………………………? 3.2单元电路B(单元电路的名称) ……………………………………? 3.2.1电路结构及工作原理…………………………………………? 3.2.2电路仿真…………………………………………………………? 3.2.3元器件的选择及参数确定…………………………………………….? …… 4 系统仿真……………………………………………………………………?. 5 电路安装、调试与测试……………………………………………………? 5.1电路安装………………………………………………………………? 5.2电路调试………………………………………………………………? 5.3系统功能及性能测试…………………………………………………? 5.3.1测试方法设计………………………………………………………? 5.3.2测试结果及分析……………………………………………………? 6 结论…………………………………………………………………………?

基于CPLD的PWM发生器设计

第32卷 第6期 2010-6 【151】 基于CPLD的PWM发生器设计 A PWM generator designed with CPLD 耿伟松,于海东 GENG Wei-song, YU Hai-dong (扬州大学 能源与动力工程学院,扬州 225009) 摘 要:H形桥式变换器在多种动力系统中有着广泛的应用。在电机控制中,H桥中开关的控制一般采 用PWM控制技术。采用VHDL硬件描述语言设计了基于CPLD的PWM发生器,并使用Max+PlusⅡ进行仿真验证,仿真结果验证了设计的正确性。设计中采用了一种巧妙的方法来实现,其原理简单。基于CPLD的PWM发生器将会简化控制系统的硬件和软件设计,获得更高的开关频率,其应用这将大大简化直流电机控制系统的设计并且改善系统的控制性能。 关键词:H桥;PWM发生器;CPLD;VHDL;Max+ Plus Ⅱ 中图分类号:TM383.6 文献标识码:B 文章编号:1009-0134(2010)06-0151-03Doi: 10.3969/j.issn.1009-0134.2010.06.50 0 引 言 自从全控型电力电子器件问世以后,就出现了采用脉冲宽度调制的高频开关控制方式,形成了直流P W M 调速系统[1]。脉宽调制变换器的作用是:用脉冲宽度调制的方法,把恒定的直流电源电压调制成频率一定、宽度可变的脉冲电压序列,从而改变平均输出电压的大小,以调节电机转速。 PWM变换器电路有多种形式,可分为可逆和不可逆两大类。其中可逆PWM变换器主电路有多种形式,最常用的是桥式(H形)电路。桥式变换器在许多动力系统中得到了广泛的应用(如直流驱动,直流-交流逆变器,开关电源等等)。 1 PWM 发生器的设计 桥式变换器应用在直流电机调速系统中的主要电路结构如图1所示,开关控制基本上采用 PWM技术。 图1 桥式变换器原理图 PWM信号发生器一般是通过模拟电路或者是 基于微处理器的软件控制技术来实现,但随着高速开关器件的涌现,对于复杂的调制技术,即使采用最先进的DSP(数字信号处理器)也很难实现。 随着超大规模集成电路的集成度和工艺水平的不断提高,专用集成电路ASIC的设计成本在不断降低。CPLD/FPGA是实现ASIC的主流器件,它们具有极大的灵活性和通用性,工作速度快,开发效率高,成本低,可靠性好。近年来,CPLD在电机控制系统中的应用收到了系统设计人员越来越多的重视。用CPLD来设计PWM发生器将会简化控制系统的硬件和软件设计,获得更高的开关频率,减少微处理器的计算工作量。 VHDL具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化、结构化设计方面表现了强大的生命力和应用潜力[2],因此本文选用VHDL语言进行编程,用一片CPLD设计了PWM发生器。 由于桥式PWM变换器的工作状态是确定的,所以采用状态机方式来编程[3]也是情有可原,虽然只有六个状态,但其程序实现起来是很复杂的。用数字比较器代替模拟比较器、用线性计数器代替锯齿波发生器来产生PWM信号[4,5]的设计方法也很繁琐。在分析了桥式PWM变换器工作原理的基础上,本文采用了一种巧妙的方法来设计,其原理简单,程序容易实现。 收稿日期:2010-03-24 作者简介:耿伟松(1990-),男,江苏连云港人,本科在读,研究方向为电气工程及自动化。

浅谈利用单片机设计PWM脉冲信号发生器

浅谈利用单片机设计PWM脉冲信号发生器 发表时间:2014-01-09T11:41:33.297Z 来源:《中国科技教育·理论版》2013年第11期供稿作者:王雪娇胡恒铮 [导读] 除此之外,模拟电路中许多的元器件会发热,也就相对提高了电路的功耗,并且对噪声也敏感,任何干扰或噪声都会改变电流值的大小。 王雪娇胡恒铮无锡技师学院 214153 摘要脉冲宽度调制(PWM)在电子技术领域中应用十分广泛,但是利用模拟电路实现脉宽调制功能十分复杂、不经济。随着微处理器的发展,运用数字输出方式去控制实现PWM的功能就变得简单快捷,本文就如何利用89S52单片机软件编程设计出周期一定而占空比可调的脉冲波,也就是实现PWM功能进行设计,它可以代替模拟电路的PWM脉冲信号发生器。 关键词单片机 PWM 数字控制 PWM是脉冲宽度调制(Pulse Width Modulation)的英文缩写,它是开关型稳压电源中按稳压的控制方式分类中的一种,而脉宽宽度调制式(PWM)开关型稳压电路是在控制电路输出频率不变的情况下,通过电压反馈调整其占空比,从而达到稳定输出电压的目的。 简单的说,PWM是一种对模拟信号电平进行数字编码的方法。理论上讲就是电压或电流源以一种通(ON)或断(OFF)的重复脉冲序列被加到模拟负载上去的,通的时候就是电源被加到负载上,断的时候就是供电被断开的时候,所以PWM信号仍然是数字的。要想达到这样一种脉宽调制效果,模拟电压和电流时可以直接控制。例如音响的音量控制,在简单的模拟电路中,它的控制是由连接了一个可变电阻的旋钮来实现的,其过程是拧动旋钮,电阻值变小或变大,流过该电阻的电流也随之增加来减小,从而改变驱动扬声器的电流值,那么声音也就相应变大或变小。从这个例子来看,模拟控制是直观而简单的,但是并不是所有的模拟电路都是可行并且经济的,其中很重要的一点就是模拟电路容易随时间漂移,它的调节过程就很困难,为了解决问题就要增加很多的电路,使得电路变得复杂并且昂贵。除此之外,模拟电路中许多的元器件会发热,也就相对提高了电路的功耗,并且对噪声也敏感,任何干扰或噪声都会改变电流值的大小。 综上所述,通过数字方式来控制模拟电路可以大幅度降低系统的成本和功耗,而单片机I/O口的数字输出可以很简单地发出一个脉冲波,在配以外部元器件就可以调节脉冲波的占空比,完成PWM的功能。本文主要介绍利用89S52系列的单片机,控制某个I/O口中一个管脚的数字输出,生成相应周期的脉冲波,并利用按键控制其占空比的调节,包括了占空比自小到大和自大到校的顺序及倒序可调,其调节范围广,操作简便,各元器件间的干扰较小,对模拟电路的控制十分有效。 1.PWM波的生成 PWM波既为数字输出,就是其幅值只有高电平(ON)和低电平(OFF)之分,所以只要使单片机中作为PWM波输出端的那个管脚输出“1”和“0”,并且搭配不同的时间段,就可以形成不同周期的PWM波。举例说明:若要生成周期为10ms的脉冲,就可以利用单片机编程指令控制其输出端输出“1”,并且保持一段时间tp,然后再输出“0”,同样使其保持一段时间tr,两种数字输出保持的时间必须要满足,现就已生成10ms周期的脉冲波,而PWM波与该脉冲波的区别就是还要能够调节占空比。占空比是指正半周脉宽占整个周期的比例,即高电平保持时间于周期的比值,该比值为百分数(),因此在周期一定的情况下,调节占空比就是调节高电平保持的时间。 2.应用编程 本文介绍的PWM波是利用单片机定时中断去确定脉冲波的周期,并且通过两个按键自增和自减某个变量送至中断中,通过此变量去分配高低电平各自占用的时间,形成不同的占空比,即假设一个周期满额比例值为10,则高电平保持时间的比例为该变量值,那么低电平保持时间的比例就是10减去该变量值。 如图1所示为单片机的外部接线图,其中省略了单片机最小系统,此图即可利用89SC52单片机设计出满足周期为10ms、初始占空比为50%、占空比调节范围为0~100%的PWM脉冲信号发生器。占空比调节范围是指高电平保持时间为0~10ms,那么低电平保持时间就是10ms~0。P0.7脚为PWM波输出口,作为PWM脉冲信号发生器可连接其它电路,本文仅连接示波器去观察波形的占空比变化情况,P2.0脚为自增按钮控制端,每按一次高电平保持时间增加1ms,P2.1脚为自减按钮控制端,每按一次高电平保持时间减少1ms。图2所示为初始

实验三:PWM信号发生器

实验三:PWM信号发生器 1.实验目的 (1)学习Quartus II 8.0 软件的基本使用方法。 (2)学习GW48-CK EDA实验开发系统的基本使用方法。 (3)学习VHDL程序中数据对象,数据类型,顺序语句和并行语句的综合使用。 2.实验内容 设计并调试好一个脉宽数控调制信号发生器,此信号发生器是由两个完全相同的可自加载加法计数器LCNT8组成的,它的信号的高低电平脉宽可分别由两组8位预置数进行控制。 3.实验条件 (1)开发软件:Quartus II 8.0。 (2)实验设备:GW48-CK EDA实验开发系统。 (3)拟用芯片:EPM7128S-PL84。 4.实验要求 (1)画出系统原理框图,说明系统中各主要组成部分的功能。 (2)编写各个VHDL源程序。 (3)根据系统功能,选好测试用例,画出测试输入信号波形或编好测试文件。 (4)根据选用的EDA实验开发装置编好用于硬件验证的管脚锁定表格或文件。 (5)记录系统仿真、逻辑综合及硬件验证结果。 (6)记录实验过程中出现的问题及解决办法。 5.实验过程 (1)PWM即脉冲宽度调制,就是利用微处理器的数字输出来对模拟电路进行控制的一种非常有效的技术。PWM从处理器到被控制系统信号都是数字式的,无需进行数/模转换。 让信号保持为数字形式可将噪声影响降到最小,因此广泛应用在测量、通信和功率控制与变换的许多领域中。 下图是一种PWM信号发生器的逻辑图,此信号发生器是由两个完全相同的可自加载加法计数器LCNT8组成的,它的输出信号的高、低电平脉宽可分别由两组8位预置数进行控制。

如果将初始值可预置的加法计数器的溢出信号作为本计数器的初始预置值加载信号LD,则可构成计数器初始值自加载方式的加法计数器,从而构成数控分频器。图中D 触发器的一个重要功能就是均匀输出信号的占空比,提高驱动能力,这对驱动,诸如扬声器或电动机十分重要。 (2)VHDL源程序 ①8位可自加载加法计数器的源程序LCNT8.VHD --LCNT8.VHD LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY LCNT8 IS PORT(CLK,LD:IN STD_LOGIC; D:IN INTEGER RANGE 0 TO 255; CAO:OUT STD_LOGIC); END ENTITY LCNT8; ARCHITECTURE ART OF LCNT8 IS SIGNAL COUNT:INTEGER RANGE 0 TO 255; BEGIN PROCESS(CLK)IS BEGIN IF CLK'EVENT AND CLK='1'THEN IF LD='1'THEN COUNT<=D; ELSE COUNT<=COUNT+1; END IF; END IF; END PROCESS; PROCESS(COUNT)IS BEGIN IF COUNT=255 THEN CAO<='1'; ELSE CAO<='0'; END IF; END PROCESS; END ARCHITECTURE ART; ②PWM信号发生器的源程序PWM.VHD

基于AT89S51单片机的PWM专用信号发生器设计

基于AT89S51单片机的PWM专用信号发生器设计 2007年08月02日星期四 13:20 基于AT89S51单片机的PWM专用信号发生器设计 摘要:介绍一种脉冲涡流无损检测系统所使用的多波形专用PWM信号发生器的设计。该信号发生器以单片机为核心控制单元,通过对外围芯片的控制来实现对输出波形的频率、电压幅值、占空比的连续调节,并能对运行信号参数进行实时显示。经实验验证,该信号发生器便于观察和调节,完全满足脉冲涡流检测系统所需激励信号的要求。 关键词:无损检测;脉冲涡流; PWM;单片机 1 引言 涡流无损检测作为无损检测应用最广泛的方法之一,具有传感器结构简单、灵敏度高、测量范围大、不受油污等介质影响、抗干扰能力强等优点,已被广泛应用于冶金、机械、化工、航空等多个工业部门。然而由于受趋肤效应的影响,常被限制在对导体表面及亚表面层的检测上,这使其检测应用范围受到了很大的限制。但是,若检测线圈在脉冲激励作用下,因脉冲信号中含有丰富、连续的频率成分,所以检测线圈中所得到的信息不仅包含了被检测试件的表面、亚表面信息,还包含其深度信息,能够对材质以及缺陷进行定量评价。而脉冲信号的波形、频率、幅值、占空比等参数的改变对检测结果有着不同的影响。因此为了获得不同的脉冲激励下的检测结果。特制作了这一专用高精度大功率脉冲信号发生器。 2 硬件设计 为满足试验要求,该信号发生器的设计目的是能产生多个波形,且频率,电压,占空比均可以调节的高精度,大功率脉冲信号。该信号发生器的硬件部分:通过单片机控制数模转换芯片输出不同的波形,再经过两级放大以及高频模拟开关进行波形整形得到较为完美的波形后,再用一组达林顿管进行电流放大得到较大功率的脉冲信号。系统硬件框图如图1示。

PWM信号发生电路

1.P W M信号概述 脉冲宽度调制(PWM)信号广泛使用在电力变流技术中,以其作为控制信号可完成DC-DC变换(开关电源)、DC-AC变换(逆变电源)、AC-AC变换(斩控调压)和AC-DC变换(功率因数校正)。 产生PWM信号的方法有多种,现分别论述如下: 1)普通电子元件构成PWM发生器电路 信 PWM产生过程和灵活调节各项参数。 2.电子元件构成PWM发生器电路

图2 PWM信号发生器电路图 SG3525采用恒频脉宽调制控制方案,内部包含有精密基准源、锯齿波振荡器、误差放大器、比较器、分频器和保护电路等。调节Ur的大小,在OUTA、

OUTB两端可输出两个幅度相等、频率相等、相位相差一个周期、占空比可调的矩形波(即PWM信号)。它适用于各开关电源、斩波器的控制。占空比控制端Ur与输出端OUTA、OUTB两端波形图如图3所示。 图3 Ur与OUTA、OUTB波形图 SG3525是电流控制型PWM控制器,所谓电流控制型脉宽调制器是按照所接反馈电流来调节脉宽的。在脉宽比较器的输入端直接用流过输出电感线圈的信号与误差放大器输出信号进行比较,从而调节占空比使输出的电感峰值电流跟随误差电压变化而变化。由于结构上有电压环和电流环双环系统,因此,无论开关电源的电压调整率、负载调整率和瞬态响应特性都有提高,是目前比较理想的新型控制器。 SG3525芯片内部功能框图如图4所示。 图4 SG3525芯片内部功能框图 各引脚功能如下所述: 1.Inv.input(引脚1):误差放大器反向输入端。在闭环系统中,该引脚接反馈信号。在开环系统中,该端与补偿信号输入端(引脚9)相连,可构成跟随器。

基于AT89C51的PWM信号发生器设计报告

基于AT89C51的PWM信号发生器设计 摘要 单片机集成度高,功能强,可靠性高,体积小,功耗低,使用方便,价格低廉等一系列优点,目前已经渗入到人们工作和生活的方方面面,几乎无处不在,无所不为。单片机的应用领域已经从面向工业控制,通讯,交通,智能仪表等迅速发展到家用消费产品,办公自动化,汽车电子,PC机外围以及网络通讯等广大领域。 单片机有两种基本结构形式:一种是在通用微型计算机中广泛采用的,将程序存储器和数据存储器合用一个存储器空间的结构,成为普林斯机构。另一种是将程序存储器和数据存储器截然分开,分别寻址的结构,一般需要较大的程序存储器,目前单片机以采用程序存储器截然分开的结构多。本课题讨论的占空比与周期可调的信号发生器的核心是目前应用极为广泛的51系列单片机。 基于单片机的信号发生器的设计,该课题的设计目的是充分运用大学期间所学的专业知识,考察现在正在使用的信号发生器的基本功能,完成一个基本的实际系统的设计全过程。关键是这个实际系统设计的过程,在整个过程中我可以充分发挥自动化的专业知识。特别是这个信号发生器的设计中涉及到一个典型的控制过程。通过单片机控制一个有特殊功能的信号发生芯片,可以产生一系列有规律的周期和占空比可调的波形。这样一个信号发生器装置在控制领域有相当广泛的应用范围。因为产生一系列的可调波形可以作为其他一些设备的数值输入,还可以应用与设备检测,仪器调试等场合。高频稳定的波形信号也可以用于无线电波的调频,解调。这些都是现代生活中必不可少的一些应用。 关键词:PWM 信号发生器

目录 1.简介............................................................... - 3 - 1.1 proteus ...................................................... - 3 - 1.2 Keil ......................................................... - 4 - 1.3 PWM .......................................................... - 5 - 1.4 AT89C51 ..................................................... - 6 - 2.设计原理和方法..................................................... - 9 - 2.1单片机的基本组成.............................................. - 9 - 2.2方案的设计与选择.............................................. - 9 - 2.3定时器、的工作原理........................................... - 10 - 2.3.1工作方式寄存器TMOD..................................... - 11 - 2.3.2定时/计数器控制寄存器TCON.............................. - 12 - 2.4定时/计数器的工作方式........................................ - 12 - 2.5设计方法..................................................... - 13 - 3.系统硬件电路设计图................................................ - 14 - 4.程序框图.......................................................... - 16 - 4.1主程序框图:................................................. - 16 - 4.2系统初始化:................................................. - 16 - 4.3定时器中断程序框图:......................................... - 16 - 4.4键盘扫描程序框图:........................................... - 17 - 5.性能分析.......................................................... - 18 - 5.1定时器中断分析............................................... - 18 - 5.2系统性能分析................................................. - 18 - 6.源程序............................................................ - 18 - 7. 仿真效果图....................................................... - 22 - 总结.............................................................. - 24 - 致谢.............................................................. - 24 - 参考文献............................................................ - 25 -

PWM信号发生电路

1.PWM信号概述 脉冲宽度调制(PWM)信号广泛使用在电力变流技术中,以其作为控制信号可完成DC-DC变换(开关电源)、DC-AC变换(逆变电源)、AC-AC变换(斩控调压)和AC-DC变换(功率因数校正)。 产生PWM信号的方法有多种,现分别论述如下: 1)普通电子元件构成PWM发生器电路 基本原理是由三角波或锯齿波发生器产生高频调制波,经比较器产生PWM 信号。三角波或锯齿波与可调直流电压比较,产生可调占空比PWM信号;与正弦基波比较,产生占空比按正弦规律变化的SPWM信号。 此方法优点是成本低、各环节波形和电压值可观测、易于扩展应用电路等。缺点是电路集成度低,不利于产品化。 2)单片机自动生成PWM信号 基本原理是由单片机内部集成PWM发生器模块在程序控制下产生PWM信号。 优点是电路简单、便于程序控制。缺点是不利于学生观测PWM产生过程,闭环控制复杂和使用时受单片机性能制约。 3)可编程逻辑器件编程产生PWM信号 基本原理是以复杂可编程逻辑器件(CPLD)或现场可编程门阵列器件(FPGA)为硬件基础,设计专用程序产生PWM信号。 优点是电路简单、PWM频率和占空比定量准确。缺点是闭环控制复杂,产生SPWM信号难度大。

4)专用芯片产生PWM信号 是生产厂家设计、生产的特定功能芯片。 优点是使用方便、安全,便于应用到产品设计中。缺点是不利于学生观测PWM产生过程和灵活调节各项参数。 2.电子元件构成PWM发生器电路 图1电子元件构成PWM发生器电路 3.集成芯片SG3525构成PWM发生器电路 一、PWM信号发生电路说明 实验电路中,驱动开关管的PWM信号由专用PWM控制集成芯片SG3525产生(美国Silicon General公司生产),PWM信号发生器电路如图2所示。

湖南工业大学EDA实验报告之PWM信号发生器的设计

实验三:PWM信号发生器的设计 1.实验目的 (1)熟悉Quartus Ⅱ/ISE Suite/ispLEVER软件的基本使用方法。 (2)熟悉GW48-CK或其他EDA实验开发系统的基本使用方法。 (3)学习VHDL程序中数据对象、数据类型、顺序语句和并行语句的综合使用。 2.实验内容 设计并调试好一个脉宽数控调制信号发生器,此信号发生器是由两个完全相同的可自加载加法计数器LCNT8组成的,它的输出信号的高/低电平脉宽可分别由两组8位预置数进行控制。 用GW48-CK或其他EDA实验开发系统(事先应选定拟采用的实验芯片的型号)进行硬件验证。 3.实验要求 (1)画出系统的原理图,说明系统中各主要组成部分的功能。 (2)编写各个VHDL源程序。 (3)根据系统的功能,选好测试用例,画出测试输入信号波形或编号测试程序。 (4)根据选用的EDA实验开发装置编好用于硬件验证的管脚锁定表格或文件。 (5)记录系统仿真、逻辑综合及硬件验证结果。 (6)记录实验过程中出现的问题及解决办法。 4.实验条件 (1)开发条件:Quartus Ⅱ 8.0。 (2)实验设备:GW48-CK实验开发系统。 (3)拟用芯片:EP3C55F484C8N。 5.实验设计 1)系统原理图 本信号发生器电路PWM的设计分为两个层次,其中底层电路包括两个完全相同的可自加载加法计数器LCNT8组成的,它的输出信号的高/低电平脉宽可分别由两组8位预置数进行控制。 加法计数器LCNT8,再由这两个模块按照图3.1所示的原理图构成顶层电路PWM。 LCNT8 图3.1 LCNT8电路原理图

图 3.1 PWM电路原理图 2)VHDL程序 信号发生器PWM的底层和顶层电路均采用VHDL文本输入,有关VHDL程序如下。LCNT8的VHDL源程序: --LCNT8.VHD LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ---USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY LCNT8 IS PORT(CLK,LD:IN STD_LOGIC; D:IN INTEGER RANGE 0 TO 255; CAO:OUT STD_LOGIC); END ENTITY LCNT8; ARCHITECTURE ART OF LCNT8 IS SIGNAL COUNT:INTEGER RANGE 0 TO 255; BEGIN PROCESS(CLK) IS BEGIN IF CLK'EVENT AND CLK='1'THEN IF LD='1' THEN COUNT<=D; ELSE COUNT<=COUNT +1; END IF; END IF; END PROCESS; PROCESS(COUNT) IS BEGIN IF COUNT=255 THEN CAO<='1'; ELSE CAO<='0'; END IF; END PROCESS;

PWM信号发生器的研制

专业课程设计报告 题 目: PWM 信号发生器的研制 南昌航空大学信息工程学院 2014 年6 月21日 姓 名: 赵清 专 业: 通信工程 班级学号: 11042301 同 组 人 : 余秋杰 指导教师: 刘敏

专业课程设计任务书2013-2014学年第 2 学期第 16 周- 19 周 题目PWM信号发生器的研制 内容及要求 (1)采用定时/计数器8253; (2)PWM信号的工作频率为500Hz; (3)占空比可变且显示占空比。 显示器单片机定时/计数器整形PWM 进度安排 第16周:查阅资料,确定方案,完成原理图设计及仿真; 第17周:领取元器件、仪器设备,制作、焊接电路; 第18周:调试电路,完成系统的设计; 第19周:检查设计结果、撰写课设报告。 学生姓名:赵清、余秋杰 指导时间:第16~19周指导地点:E楼603室任务下达2014年 6 月2 日任务完成2014年6月27日 考核方式 1.评阅□√ 2.答辩□ 3.实际操作□√ 4.其它□指导教师刘敏系(部)主任李忠民

摘要 PWM(Pulse Width Modulation)又称脉冲宽度调制,属于脉冲调制的一种。PWM 技术广泛运用于各种工业电力传动领域乃至家电产品中。本文主要介绍了PWM信号发生器的概念、作用及定义,分析了系统的工作原理和软硬件的设计。主要是以AT89C51单片机为核心控制单元,通过对外围电路芯片的设计实现PWM输出波形的频率、电压幅值、占空比的连续调节,达到产生PWM信号目的。 关键字:控制单元、脉冲信号、占空比

目录 第一章系统组成与工作原理................................................. 错误!未定义书签。 1.1 系统组成 ........................................................................ 错误!未定义书签。 1.2 工作原理 ........................................................................ 错误!未定义书签。第二章硬件电路方案设计..................................................... 错误!未定义书签。 2.1 设计方案的选择 ............................................................ 错误!未定义书签。 2.1.1 方案一 ....................................................................... 错误!未定义书签。 2.1.2 方案二 ....................................................................... 错误!未定义书签。 2.2 单元电路设计 (2) 2.2.1 振荡电路 (2) 2.2.2 复位电路 (3) 2.2.3 按键电路 ................................................................. 错误!未定义书签。 2.2.4 显示电路 ................................................................. 错误!未定义书签。第三章软件设计 ..................................................................... 错误!未定义书签。 3.1 编程语言的选择 ............................................................ 错误!未定义书签。 3.2 采用C语言的软件设计 ............................................... 错误!未定义书签。 3.2.1 设计流程图 (5) 3.2.2 定时/计数器的程序设计 (6) 3.2.3 按键及延时程序设计 (6) 3.2.4 显示模块程序设计 .................................................. 错误!未定义书签。 3.3 采用VHDL语言的软件设计 ....................................... 错误!未定义书签。 3.3.1 基本设计思想 (8) 3.3.2 设计流程图 .............................................................. 错误!未定义书签。 3.3.3 主要程序代码 (9) 第四章系统的调试与分析 (10) 4.1 主要仪器和工具 (10) 4.2 调试过程 (10) 4.3 测试结果与分析 (10) 结论 (13) 参考文献 (14) 附录 (15)

PWM信号发生器的设计

Yibin University 电子信息技术与科学专业 题目 PWM信号发生器的设计 专业电子信息科学与技术 学生姓名 ***** 学号 ******** 年级物电2011级 班级 3班 指导教师 ****** 2013年 12 月 8 日

PWM信号发生器的设计 一、设计内容 设计一个能够均匀输出给定占空比的脉冲宽调制信号,通过两个可加载8位计数器lcnt8.v实现本设计。若初始时D触发器输出为高电平时, U1不能加载A,若已复位只能完成0到255的加计数,在计到255时产生输出cao1,经反相后异步清除d触发器,经反相后,ld1变高,使u1完成加载A,但只能保持加载状态,直到u2计数完成,产生cao2使d触发器输出高电平,ld1变低,u1开始从A的加计数,计到255后,产生输出cao1,经反相后异步清除d触发器,如此循环。D触发器输出高电平使u2加载,但持续的高电平维持加载使u2计数状态维持在B,只有当d触发器清除后,u2开始从B的加计数,计到255后产生输出cao2,使D触发器输出为高电平,如此循环。 二、设计方案 基于现场可编程逻辑门阵列FPGA,通过EDA技术,采用VHDL硬件描述语言实现数控脉冲宽度调制信号发生器设计。程序设计思想为:对输入信号A、B采用不同的八位二进制代码表示高低电平持续时间,由时钟上沿触发加计数器进行加计数功能,至于计数器u1、u2谁工作取决于其后接的D触发器输出的高低电平状态,低电平使u1工作,高电平使u2工作。再通过组合逻辑电路输出结果状态。其原理框图 图一脉宽数控调制信号发生器逻辑图 而基于FPGA则是把相应的逻辑“暂时”固化为硬件电路了,它对激励作出的响应速度就是电信号从FPGA的一个管脚传播另一个管脚的传播速度,当然这指的是异步逻辑,同时电信号也要在芯片内进行一些栅电容的充放电动作,但这些动作都是非常非常快的。如果将初始值可预置的加法计数器的溢出信号作为本计数器的初始预置加载信号LD,则可构成计数初始值自加载方式的加法计数器,

PWM信号源的制作

PWM信号源的制作 引言:脉宽调制(PWM)是利用微处理器的数字输出来对模拟电路进行控制的一种非常有效的技术,该技术广泛应用在测量、通信、功率控制与变换等许多领域中。作为一个具体的例子,我们来考察一种用PWM控制直流电机。要使电机的速度可调和方向可变,只需通过软件加大或减小PWM的占空比就可以改变。PWM技术在调压调速技术中的应用己基本普遍,调压调速技术的核心是脉冲宽度调制(PWM)控制技术。 (一)PWM直流调速的特点 PWM直流调速系统是强弱电均有、控制与信号处理结合、机电一体的综合性技术。既要处理巨大电能的转换,又要处理信息的收集、变换、传输和控制。因此结构上分为功率和控制两大部分。前者要解决与高压大电流有关的技术问题和新型电力电子器件的应用技术问题,后者要解决基于控制技术和计算机技术的硬、软件开发问题。 (二)直流电动机的PWM调压调速原理 绝大多数直流电动机采用开关驱动方式。开关驱动方式是使半导体器件工作在开关状态,通过脉宽调制PWM来控制电动机电枢电压,实现调速。 图1-1是利用开关管对直流电动机进行PWM调速控制的原理图和输入输出电压波形。在图1-1(b)中,当开关管MOSFET的栅极输入高电平时,开关管导通,直流电动机电枢绕组两端有电压US。t1秒后,栅极输入变为低电平,开关管截止,电动机电枢两端电压为0。T2秒后,栅极输入重新变为高电平,开头管的动作重复前面的过程。这样,对应着输入的电平高低,直流电动机电枢绕组两端的电压波形如图1-1(b)所示。电动机的电枢绕组两端的电压平均值U0为:U0=(t1US+0)/(t1+t2)= t1 US/T=a US(式1-1) 式中a—占空比,a= t1/T 。 图1-1 占空比a表示了在一个周期T里,开关管导通的时间与周期的比值,a的变化范围为0≤a≤1。由式(1-1)可知,当电源电压US不变的情况下,电枢的端电压的平均值U0取习决于占空比a的大小,改变a的值就可以改变端电压的平均值,从而达到调速的目的,这就是PWM调速的原理。 在PWM调速时,占空比a是一个重要参数。以下3种方式都可以改变占空比的值。

相关文档
相关文档 最新文档