文档库 最新最全的文档下载
当前位置:文档库 › EDA简易电子琴

EDA简易电子琴

EDA简易电子琴
EDA简易电子琴

简易电子琴

1.系统原理框图

扬声器在不同频率的信号驱动下将发出不同的声音。本实验是利用实验板上的8个按键产生不同的音阶信号,按键不同时,不同的音阶信号产生不同的频率信号去驱动扬声器,从而实现电子琴的功能。

根据音乐理论,每个8度音之间可分为12个半音,每个半音之间的频率相差(0599.1212 Hz )。若C 调第一个音名的频率为261.63Hz ,则各音名与频率以及2MHz 时钟的分频系数的关系如表所示。

简易电子琴的系统框图如图1—2所示,它有键盘编码器和时钟分频器组成。键盘编码器产生按键编码信号;时钟分频器产生不同的分频系数,将输入时钟频率分频至各音名对应的频率值,从而驱动扬声器发出该频率的声音。

键盘输入扬声器

2MHz 时钟输入

图1—2 简易电子琴的系统框图

图1—3为实现简易电子琴的顶层原理图。其中,KEYBOARD 模块实现对键盘的编码,K[7..0]为键盘输入,SEL[2..0]为3位二进制编码输出,EN 为使能输出信号(高电平有效);M_FREQ 模块实现分频功能,CLK 为时钟输入,当SEL[2..0]编码输入不同,且EN 输入为高电平时,分频器产生不同的频率值,当SPK 输出为1时扬声器响,否则静音。

图1—3实现简易电子琴的顶层原理图

2.模块设计

①键盘编码器

VHDL描述文件keyboard.vhd如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity keyboard is

port(

k: in std_logic_vector(7 downto 0); --8位键盘输入

sel: out std_logic_vector(2 downto 0); --3位键盘编码输出en: out std_logic ---使能输出

);

end keyboard;

architecture arc_keyboard of keyboard is

begin

process(k)

begin

case k is

when"11111110"=>sel<="001"; --按键,产生编码

en<='1';

when"11111101"=>sel<="010";

en<='1';

when"11111011"=>sel<="011";

en<='1';

when"11110111"=>sel<="100";

en<='1';

when"11101111"=>sel<="101";

en<='1';

when"11011111"=>sel<="110";

en<='1';

when"10111111"=>sel<="111";

en<='1';

when"01111110"=>sel<="000";

en<='1';

when others=> sel<="000";

en<='0';

end case;

end process;

end arc_keyboard;

②时钟分频器

VHDL描述文件m_freq,vhd如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity m_freq is

port(

clk,en:in std_logic;

sel: in std_logic_vector(2downto 0); --3位键盘编码输入

spk: out std_logic --扬声器控制信号输出

);

end m_freq;

architecture arc_m_freq of m_freq is

signal count_ld,count:std_logic_vector(12 downto 0);

begin

process(sel)

begin

case sel is

when "000" => count_ld <= "0111011101110"; --3822

when "001" => count_ld <= "1110111011011"; --7643

when "010" => count_ld <= "1101001010101"; --6809

when "011" => count_ld <= "1011110110010"; --6066

when "100" => count_ld <= "1011001011101"; --5725

when "101" => count_ld <= "1001111101101"; --5101

when "110" => count_ld <= "1000111000000"; --4544

when "111" => count_ld <= "0111111010000"; --4048

when others => count_ld <= "0111011101110"; --3822

end case;

end process;

process

begin

wait until clk'event and clk='1'; --计数器同步清零

if en='0' then

count<=(others=>'0');

spk<='1'; --当计数值小于count_ld/2时,spk=’1’,且加1计数elsif count<('0'&count_ld(12 downto 1)) then

count<=count+1;

spk<='1';

--当计数值大于count_ld/2且小于count_ld时,spk=’0’,且加1计数

elsif count

count<=count+1;

spk<='0';

--当计数值小于count_ld时,计数器清零,spk=’1’

else count<=(others=>'0');

spk<='1';

end if;

end process;

end arc_m_freq;

3.原理图和波形仿真图

①键盘编码器的.bsf图:

②时钟分频器的.bsf图:

③简易电子琴的顶层原理图.bdf:

⑤波形仿真图:

⑴按键编码为’11111110’时波形图:

⑵按键编码为’11111101’时波形图:

⑶按键编码为’01111111’时波形图:

其它的波形图也和这差不多,只要分别改变k[7]到k[0]的高低电平达到和编码的高低电平相同,然后仿真再看波形图。

4.问题分析

在整个课程设计中,不可避免遇到很多难于解决的问题,一来是对EDA技术的不太了解,初涉VHDL语言以致很多语法和语言基本结构、算法生疏,运用不灵活,在编写源程序上遇到很大难题,而且在编译运行程序时对出错的语句理解不到位,难于下手修改错误语句,这使得在设计程序时遇到很大的阻碍,此外,VHDL语言的数据类型很容易造成混淆,比如IN STD_LOGIC_VECTOR(7 DOWNTO 0)语句,它有时既可以认为是从7下降到0,也可认为是从0上升到7,当输入有多位时,这就容易造成在读懂仿真波形图时读位数倒置,使仿真现象与理论结果相出入。

在完成电路验证这一步时,对频率的选择也是一大难点,有的设计项目对频率的要求很高,需要大频率元件才能满足设计需求,若频率元件选择不恰当,将严重影响设计结果甚至没有结果输出。对于设计简易电子琴时,由于要求输出不同频率的声音,频率变化范围大,故需要一个2MHz的元件,对于小于这一值的频率不足以满足电路需求,仿真时只有一堆杂音输出。

在对于设计时遇到的不同问题时,首先应该理解问题关键所在,因为用语言编写程序需要仔细认真的态度,一点点错误漏洞将导致整个源程序无法编译运行,阻碍下一步工作完成进度。

5.结束语

通过这次VHDL课程设计,不仅增强了我们的实践动手能力,也让我们对课堂上所学到的理论知识的理解加深了许多,这给我们提供了一个在学习生活中很难得的理论联系实际的机会。能够借此机会了解到部分EDA技术的知识和学习运用其中一种硬件描述语言VHDL编程实现各种常用器件的功能,这是在哪堂讲课上都得不到的一笔财富。

另一方面我们也发现了在平时学习过程中难于发现的许多缺点跟不足。比如实践机会过少,所学的理论知识不能灵活运用,在遇到实际的问题时无法正确处理;再者在课堂上获得的专业知识过于浅显,很多的有关基本操作原理、操作方法都理解不了;课外知识了解的也过少,导致在课程设计初期,面对完全陌生的设计课题无从下手,不知所措。这就提醒我们在平时的学习生活中不能一味埋头于面前的课本知识,毕竟当今社会竞争越发激烈,而学校能教授的东西有限,要想在人才市场中脱颖而出就只能靠我们自己。当然,在学习之余我们更应该积极参加各种有关专业知识的实践活动和比赛,巩固所学理论,多注意培养初步的实际工作能力和专业技术能力,这样在以后的工作岗位上不会显得那么仓促与生疏。

简易电子琴课程设计

课程设计任务书学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 简易电子琴电路的设计仿真与实现 初始条件: 可选元件:集成运算放大器LM324、电阻、电位器、电容若干,直流电源,或自备元器件。 可用仪器:示波器,万用表,直流稳压源,函数发生器 要求完成的主要任务: (1)设计任务 根据要求,完成对简易电子琴电路的仿真设计、装配与调试,鼓励自制稳压电源。 (2)设计要求 ①设计一简易电子琴电路,按下不同琴键即改变RC值,能发出C调的八个基本音阶,采用运算放大 ②选择电路方案,完成对确定方案电路的设计。 ③利用Proteus或Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原理并仿真实现系 统功能。 ④安装调试并按规范要求格式完成课程设计报告书。 ⑤选做:利用仿真软件的PCB设计功能进行PCB设计。 时间安排: 1、前半周,完成仿真设计调试;并制作实物。 2、后半周,硬件调试,撰写、提交课程设计报告,进行验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.模电课设概述 (1) 1.1设计背景 (1) 1.2设计目的及意义 (1) 1.3开发环境proteus简介 (1) 2.电路原理 (3) 2.1 RC桥式振荡电路及频率选择 (3) 2.2振荡条件 (4) 3.总体方案设计 (5) 3.1实验电路设计思路 (5) 3.2设计电路图 (6) 3.3实验参数选择 (6) 4.仿真曲线及结果分析 (7) 4.1仿真操作过程及曲线 (7) 4.2仿真结果分析 (14) 5.实物制作及仿真、实物的差异 (15) 5.1实物制作过程和调试过程 (15) 5.2 仿真、实物的差异 (16) 6.心得体会 (17) 7.元件清单 (18) 8.参考文献 (19)

简易电子琴电路的制作

简易电子琴电路的制作 正文: 一、课程设计的目的 1.了解UA741芯片和DG4102芯片的逻辑功能。 2.学会使用示波器。 3.能够组装复杂的线路并调试。 4.能够熟练地焊接各个元器件到焊接实验板上。 5.了解音调的初步知识。 二、课程设计所用仪器 1.图1运算放大器UA741。 图1 DG4102型单片式集成功放电路结构外形图和管脚1和5为偏置(调零端),2为反向输入端,3为正向输入端,4接负电(-Vcc),6为输出,7接正电源(+Vcc), 8空脚 2.集成功放DG4102。 本实验采用DG4102型单片式集成功率放大电路,此集成电路是带散热片的14脚双列直插式塑料封装结构,其结构外形图和管脚如图2所示: 图2 DG4102型单片式集成功放电路结构外形图和管脚1——输出端, 6——反相输入端, 9——输入端,4、5——补偿电容, 10、

12——旁路电容, 13——自举电容,2、7、8、11——空脚, 3——接地, 14——电源电压(+VCC )。 3. 示波器、数字万用表、扬声器一只、焊接实验板、函数信号发生器、晶体三 极管(9013)、电阻器若干、电容器若干、按键式开关8只、电烙铁、焊锡丝、若干导线 三、课程设计的原理 (一)、简易电子琴电路设计原理 1、简易电子琴电路是将振荡电路与功率放大电路结合的产物。RC 振荡电路(如图3所示)是由RC 选频网络和同相比例运算电路组成,对不同频率的输入信号产生不同的响应。当RC f f π210==时 O U 和i U 同相,并且31==o i U U F 。而同相比例运算电路的电压放大倍数为11R R U U A F i O U +== , 可见,12R R F =时3=U A , 1=F A U 。O U 和i U 同相,也就是电路具有正反馈。起振时F A U >1, U A >3.随着振荡幅度的增大, U A 能自动减小,直到满足3=U A 或1=F A U 时,振幅达到稳定,以后可以自动稳幅。 R R F 图3 RC 振荡电路 2、功率放大电路的任务是将输入的电压信号进行功率放大,保证输出尽可能大的不失真功率,从而控制某种执行机构,如使扬声器发出声音、电机转动或仪表指示等等。

基于单片机的简易电子琴正确版

//简易电子琴 #include<> //包含51单片机寄存器定义的头文件 sbit P14=P1^4; //将P14位定义为引脚 sbit P15=P1^5; //将P15位定义为引脚 sbit P16=P1^6; //将P16位定义为引脚 sbit P17=P1^7; //将P17位定义为引脚 unsigned char keyval; //定义变量储存按键值 sbit sound=P3^6; //将sound位定义为 unsigned int C; //全局变量,储存定时器的定时常数 unsigned int f; //全局变量,储存音阶的频率 //以下是C调低音的音频宏定义 #define l_dao 262 //将“l_dao”宏定义为低音“1”的频率262Hz #define l_re 286 //将“l_re”宏定义为低音“2”的频率286Hz #define l_mi 311 //将“l_mi”宏定义为低音“3”的频率311Hz #define l_fa 349 //将“l_fa”宏定义为低音“4”的频率349Hz #define l_sao 392 //将“l_sao”宏定义为低音“5”的频率392Hz #define l_la 440 //将“l_a”宏定义为低音“6”的频率440Hz #define l_xi 494 //将“l_xi”宏定义为低音“7”的频率494Hz //以下是C调中音的音频宏定义 #define dao 523 //将“dao”宏定义为中音“1”的频率523Hz #define re 587 //将“re”宏定义为中音“2”的频率587Hz #define mi 659 //将“mi”宏定义为中音“3”的频率659Hz #define fa 698 //将“fa”宏定义为中音“4”的频率698Hz #define sao 784 //将“sao”宏定义为中音“5”的频率784Hz #define la 880 //将“la”宏定义为中音“6”的频率880Hz #define xi 987 //将“xi”宏定义为中音“7”的频率53

基于51单片机简易电子琴的课程设计

基于51单片机简易电子琴 1 课题背景 单片微型计算机室大规模集成电路技术发展的产物,属于第四代电子计算机它具有高性能、高速度、体积小、价格低廉、稳定可靠、应用广泛的特点。他的应用必定导致传统的控制技术从根本上发生变革。因此,单片机的开发应用已成为高科技和工程领域的一项重大课题。 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本文的主要内容是用AT89S52单片机为核心控制元件,设计一个电子琴。以单片机作为主控核心,与键盘扬声器等模块组成核心主控制模块,在主控模块上设有8个按键,和一个复位按键。 主要对使用单片机设计简易电子琴进行了分析,并介绍了基于单片机电子琴硬件的组成。利用单片机产生不同频率来获得我们要求的音阶,最终可随意弹奏要表达的音符。并且分别从原理图,主要芯片,个模块原理及各莫奎的程序的调试来详细阐述。 一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,构成我们想演奏的那首曲目。当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样的方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系编写正确就可以达到我们想要的曲目。 2 任务要求与总体设计方案 2.1 设计任务与要求 利用所给键盘的1,2,3,4,5,6,7,8八个键,能够发出7个不同的音调,而且有一个按键可以自动播放歌曲,要求按键按下时发声,松开延时一小段时间,中间再按别的键则发另外一音调的声音,当系统扫描到键盘按下,则快速检测出是哪一个按键被按下,然后单片机的定时器启动,发出一定频率的脉冲,该频率的脉冲经喇叭驱动电路放大滤波后,就会发出相应的音调。如果在前一个按下的键发声的同时有另一个按键被按下,则启动中断系统。前面的发音停止,转到后按的键的发音程序。发出后按的键的音调。 2.2 设计方案 2.2.1 播放模块 播放模块是由喇叭构成,它几乎不存在噪声,音响效果较好,而且由于所需驱动功率较小,且价格低廉,所以,被广泛应用。 2.2.2 按键控制模块

简易电子琴完整版

设计简易电子琴 学号:031041108 学生姓名:冯桥专业(班级):电子(11) 摘要:简易电子琴电路是以 NE555 时基电路为核心组成的多谐振荡器电路,由振荡器电路产生频率信号,再通过由 LM386 小功率集成功放为核心组成的功放电路,最后由扬声器输出信号,发出 8个不同频率的音符。 通过改变一组开关的通断可以发出不同的音符和音调,分别按下音符按键能发出 8 个不同频率的音符。 关键词:NE555 LM386 音调集成功放驱动 1 任务提出与方案论证 1.1 设计要求 1、要求有7个音阶,可以用数字芯片构成,也可由单片机构成。 2、用Multisim仿真。 3、搭建实体电路 要求掌握:数字电路的设计方法 1.2 方案论证 方案一: 基于RC振荡电路构成文氏电桥振荡电路,通过改变电阻或电容的值,可以得到不通的振荡频率,从而可以构建八音阶的电子琴系统。(注:通过此方法完成后只能发出一种声响,而且不能停止,是电路设计与链接问题。) 方案二: 555定时器可以构成单稳态触发器,而单稳态触发器仅有一个稳态,故可以通过改变其暂态在一个周期内的时间长度以得到不同的频率,来构建电子琴系统。 本设计选用第二种方法实现。

2 总体设计 2.1 系统总体组成··

·· 本系统主要由多谐振荡发生电路,扬声器及外部电路组成。通过按键开关接通电路产生振荡方波信号,通过改变电位器电阻的大小来调节振荡频率的大小;接着驱动扬声器发出声音。多谐振荡发生电路按住一个开关电路接通电路外部电容、电阻与555芯片构成多谐振荡电路进行循环的充放电,则输出脉冲矩形波信号。 2.2 总电路图

(完整版)基于51单片机简易电子琴的设计

电子琴的设计 1 课题背景 单片微型计算机室大规模集成电路技术发展的产物,属于第四代电子计算机它具有高性能、高速度、体积小、价格低廉、稳定可靠、应用广泛的特点。他的应用必定导致传统的控制技术从根本上发生变革。因此,单片机的开发应用已成为高科技和工程领域的一项重大课题。 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本文的主要内容是用AT89S52单片机为核心控制元件,设计一个电子琴。以单片机作为主控核心,与键盘扬声器等模块组成核心主控制模块,在主控模块上设有8个按键,和一个复位按键。 主要对使用单片机设计简易电子琴进行了分析,并介绍了基于单片机电子琴硬件的组成。利用单片机产生不同频率来获得我们要求的音阶,最终可随意弹奏要表达的音符。并且分别从原理图,主要芯片,个模块原理及各莫奎的程序的调试来详细阐述。 一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,构成我们想演奏的那首曲目。当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样的方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系编写正确就可以达到我们想要的曲目。一. 任务要求与总体设计方案 1.1 设计任务与要求 利用所给键盘的1,2,3,4,5,6,7,8八个键,能够发出7个不同的音调,而且有一个按键可以自动播放歌曲,要求按键按下时发声,松开延时一小段时间,中间再按别的键则发另外一音调的声音,当系统扫描到键盘按下,则快速检测出是哪一个按键被按下,然后单片机的定时器启动,发出一定频率的脉冲,该频率的脉冲经喇叭驱动电路放大滤波后,就会发出相应的音调。如果在前一个按下的键发声的同时有另一个按键被按下,则启动中断系统。前面的发音停止,转到后按的键的发音程序。发出后按的键的音调。 1.2 设计方案 1.2.1 播放模块 播放模块是由喇叭构成,它几乎不存在噪声,音响效果较好,而且由于所需驱动功率

-用555制作简易电子琴

555简易电子琴电路制作 一设计要求与任务 1.学习调试电子电路的方法,提高实际动手能力。 2.了解由555定时器构成简易电子琴的电路及原理。 二总体框图 、【模块功能】 该电路包括按钮开关,定值电阻,555振荡器和扬声器三部分组成, 1输入端:由八个按钮开关与各自的定值电阻串联在并联组成输入端2频率产生端:根据定值电阻的不同输入,由555产生不同的信号频率 3扬声器端口: 接受信号频率发出特定的频率

【设计方案】 555定时器 本实验采用两个555集成定时器组成简易电子琴。整个电路由主振荡器,颤音振荡器,扬声器和琴键按钮等部分组成。 主振荡器由555定时器,七个琴键按钮S1~S7,外接电容C1、C2,外接电阻R8以及R1~R7等元件组成,颤音振荡器由555定时器,电容C5及R9、R10 等元件组成,颤音振荡器振荡频率较低为64Hz,若将其输出电压U连接到主振荡器555定时器复位端4,则主振荡器输出端出现颤音。 按图接线后闭合不同开关即可令喇叭发出不同频率的声响,从而模拟出电子琴的工作。 三选择器件 【实验器材】 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积很小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成史密特触发器、单稳态触发器及自激多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。 多谐振荡器的工作原理 多谐振荡器是能产生矩形波的一种自激振荡器电路,由于矩形波中除基波外还含有丰富的高次谐波,故称为多谐振荡器。多谐振荡器没有稳态,只有两个暂稳态,在自身因素的作用下,电路就在两个暂稳态之间来回转换,故又称它为无稳态电路。

简易电子琴课程设计

简易电子琴电路的设计与制作一?实验目的 1、将课程的理论知识转换为技能。 2、掌握简易电子琴的组成原理及设计方法。 3、掌握集成555定时器应用电路的设计、制作与调试方法。 4、掌握制作电子电路的技能。 5、进一步熟悉常用电子器件的类型和特性,并掌握合理选用的原则, 掌握电子仪器的正确使用 6、学会电子电路的安装与调试技能。 7、学会撰写课程设计总结报告。 8、通过课程设计的综合训练,为以后毕业设计打下一定的基础二?实验设备 NE555两个、琴键开关8个(小体积)、按键开关1个、扬声器1个(5V蜂鸣器)、电容0.1μF1个、电容0.01μF1个电容4.7μF1个,、22μF1个、系列电阻:148kΩ、121kΩ、95kΩ、86kΩ、66kΩ、48kΩ、32kΩ、25kΩ、10 kΩ、1 kΩ、5 kΩ、2 kΩ、4.7kΩ若干。三极管9013、9012、9014各两个。φ3红色发光管8个。可调电阻(电位器)60~100 kΩ8个(参考型号104、105、103、504、503),面包板用独股线导线若干。大面包板1块,三联焊接板1块,焊锡、焊油若干。万用表一块、镊子一把、斜嘴一把。三?课程设计任务及要求 1、用555定时器和电子元件设计一个简易电子琴,实现C调八个音 1 阶1.2.3.4.5.6.7.i,具有一般演奏功能。 2、设计电路,按照音阶频率要求选择器件参数,各音阶对应的频率 为:1(264Hz)、2(297Hz)、3(330Hz)、4(352Hz)、5(396Hz)、6(440Hz)、 7(495Hz)、 i(528Hz)。

3、用琴键控制音阶,用指示灯显示发出音阶,按下琴键相应指示灯亮,发出准确的音阶音。 4、仿真验证设计电路,接通仿真电路琴键开关可以听到扬声器发出的准确音阶。 5、在面包板上搭接电路,调整电路参数,达到仿真音阶,做到用人耳识别,音色不失真。 6、设计电路板,组装、焊接、调试达到设计的仿真实验效果,使设计尽量成品化。 7、功能扩展:自动演奏功能、声音延续功能等。 8、完成设计报告 四?实验设计原理 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积很小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成史密特触发器、单稳态触发器及自激多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。简易电子琴主要使用555定时器和电子元件实现C调八个音阶 1.2.3.4.5.6.7.i,具有一般演奏功能。 2 以下为555定时器的结构及工作原理电路组成: 1).分压器:三个5kΩ电阻构成 2).比较器:两个比较器C1和电路组成: 3). 基本R-S触发器 4).放电晶体管T 5).输出缓冲门

单片机课程设计 简易电子琴设计

单片机课程 设计 课程设计名称 专学学 指 级 名 号 师导 课程设计时间

需求分析 1.1课题背景 随着社会的发展进步,音乐逐渐成为我们生活中很重要的一部分,有人曾说喜欢音乐的人不会向恶。我们都会抽空欣赏世界名曲,作为对精神的洗礼。本论 文设计一个基于单片机的简易电子琴。电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演着重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。电子科技也在不断的前进,电子技术正在以不同的方式改变着我们 的生活,电子琴设计也是希望给人们带来一些生活的乐趣。电子琴可以应用在很多方面,比 如一些简易的玩具上或手机上。 单片机技术使我们可以利用软硬件实 现电子琴的功能,从而实现电子琴的微型化。 本文主要对使用单片机设计简易电子琴进行了分析,并介绍了基于单片机电子琴统硬件组成。利用单片机产生不同频率来获得我们要求的音阶,最终可随意弹奏想要表达的音乐。并且本文分别从原理图,主要芯片,各模块原理及各模块的程序的调试来详细阐述。 1.2课题设计的任务与主要内容 本文的主要内容是用AT89C51单片机为核心控制元件,设计一个简单的电子琴。以单片机作为主控核心,与键盘、扬声器等模块组成核心主控制模块,在主 控模块上设有1 6个按键和扬声器。定时器按设置的定时参数产生中断,由于定 时参数不同,就会发出不同频率的脉冲,不同频率的脉冲经喇叭驱动电路放大滤波后,就会发出不同音调。 先根据要求设计硬件电路和编写相应的程序,然后进行仿真调试,最后细心 焊接硬件电路图,将程序烤入芯片中,最终达到设计目的。本系统运行稳定,其优点是硬件电路简单,软件功能完善,控制系统可靠,性价比较高等,具有一定的实用和参考价值。

简易电子琴设计说明

模拟电子技术课程设计报告 题目名称:简易电子琴 姓名:黄鹏程 学号:150712165 班级: 15电本六班 指导教师:王爱乐 成绩: 工程技术学院 信息工程与自动化系

摘要 随着社会的发展进步,音乐已成为我们生活中很重要的一部分,电子琴则是一种很常见的键盘乐器,是现代电子科技和音乐结合的产物。在各个领域扮演很重要的角色,早已融入现代人们的日常生活中,成为不可替代的一部分。 简易电子琴主要是由8个按键控制,根据固定电阻的不同,从而产生不同的振荡频率,并且将信号放大后由扬声器输出声音。 为了能得到频率不同的波,波形产生部分首先使用了NE555芯片,从而得到振荡的正弦波;将信号传给LM386进行功率放大,使扬声器发出相应的音阶。分块调试测试电子琴,先是震荡电路的线路测试,再是功率放大电路的测试。 经过调试之后,焊接而成的作品能产生8个音调的不同振荡频率的音阶。 关键词:NE555 LM386 频率电子琴

目录 第一章设计任务.............................................. - 4 -1.1设计要求............................................................. - 4 - 1.2设计目的............................................................. - 4 - 1.3总体思想构图......................................................... - 5 -第二章系统组成及工作原理..................................... - 7 - 2.1 NE555简介........................................................... - 7 - 2.2逻辑符号............................................................. - 8 - 2.3 NE555部原理图....................................................... - 9 - 2.4逻辑功能............................................................ - 10 - 555定时器逻辑功能...................................................... - 10 - 2.5 LM386芯片介绍..................................................... - 12 - 2.5.1 外形、管脚排列及电路............................................. - 12 - 2.5.2 LM386主要性能指标................................................ - 12 - 2.6 简易电子琴系统组成.................................................. - 13 - 2.6.1 按键模块.......................................................... - 13 - 2.6.2音调发生模块...................................................... - 13 - 2.6.3音响模块.......................................................... - 13 - 2.7 简易电子琴的工作原理................................................ - 13 -第三章模块定路设计与参数计算................................ - 14 - 3.1波形发生部分........................................................ - 14 - 3.2功率放大部分........................................................ - 15 -第四章系统调试.............................................. - 17 - 4.1 调试步骤........................................................... - 17 - 4.2 调试过程........................................................... - 17 - 4.3 调试结论........................................................... - 17 -参考文献..................................................... - 18 - 附录.............................................. 错误!未定义书签。附录一:元器件清单............................................ 错误!未定义书签。附录二电路仿真.............................................. 错误!未定义书签。附录三制作作品原图......................................... 错误!未定义书签。

简易电子琴

电子工程学院课外学分设计报告 题目:简易电子琴设计 姓名:学号:35 专业:电子信息工程实验室:开放实验室班级:1211 设计时间:年月日——年月日 评定成绩:审阅教师:

目录 1. 设计任务、目的 (1) 2. 方案设计与论证(或基本原理与论证) (1) 3. 硬软件设计 (1) 4. 实现与测试(或调试) (5) 5.分析与总结 (6)

1. 设计任务、目的 1. 硬件电路设计(制作实物,行列键盘输入,至少21键,扬声器输出) 2. 驱动程序设计:扬声器驱动函数,键盘扫描函数 3. 结合驱动程序设计程序实现如下功能: - 按键发声 - 音乐播放(3首以上 2. 方案设计与论证(或基本原理与论证) 音乐是有由不同的音阶组成的,而不同的音阶又是由不同的频率发出的,那么产生不同的频率,就可以发出不同的音乐了。而利用单片机就可以产生不同的频率的方波,因此选择单片机为为主来设计。通过程序编写实现单片机输出不同的频率,输出的方波信号再通过功放输出声音。同时电子琴加入led用来显示。 本设计的主要工作是程序编写和焊电路板,通过程序让电子琴实现音乐演奏,歌曲播放以及记录已按下的音符,并播放,最后实现led显示。而硬件主要有单片机最小系统,键盘模块,发声模块,还有一个电源模块。 图2.1总体方案图 3. 硬软件设计 3.1硬件电路设计

图3.1硬件电路图 本系统有主控单片机、按键、led显示模块、扬声器模块以及电源组成。 1.单片机最小系统 单片机最小系统由STC89C51芯片、晶振、电容组成。 2.按键设计 按键采用4*6扫描;4根行线接P10-P13,六根列线接P14-P17以及P20,P21口共24个按键,0-20代表音符键,0-6代表低音1,2,3,4,5,6,7;7-13代表中音1,2,3,4,5,6,7; 14-20代表高音1,2,3,4,5,6,7;21号按键表示播放歌曲键,当按下21号键,进入播放歌曲函数,当按下22号键时,播放下一首歌曲,当按下23键时,退出播放返回主程序。而在主程序中时,代表演奏状态,当按下23号键时,进入录音状态,此时有个绿色的指示灯会亮。而进入录音后,再按一次23键,指示灯灭,退出录音状态,返回主程序。 3.Led灯设计 7个绿色的LED代表按键的音符DO,RE,MI...分别接到P0口的各个I端口音符DO 时,一个LED亮,音符MI时,2个LED亮…以此类推。有一个绿色LED指示当前状态,当电子琴处于录音时,LED亮,否则,灭。 4.扬声器模块 扬声器模块由扬声器、三极管和电阻组成。经过三极管的放大作用驱动扬声器发声。 3.2软件设计

简易电子琴课程设计报告超详细

广州大学机械与电气工程学院 电子信息工程系 课 程 设 计 报 告 课程名称:电子技术课程设计 设计题目:简易电子琴 专业班级:电子信息工程 2 班 设计者:苏伟强 学号: 51 06 指导教师:秦剑彭绍湖

设计所在学期: 2016~2017学年第 2 学期 设计所在时间: 2014年7月6日-12日 地点: 电子信息实验楼314 315 目录 一课程设计题目 (3) 1 题目分析理解 二设计任务及要求 (3) 1 要求 2 任务安排 3 进度安排 三电路设计 (4) 1 方案论证 2 单元电路设计与数据分析 文氏桥正弦波震荡电路 LM386组成的功率放大电路 3 确认理论参数 四电路仿真............................................................................. (13)

1 multisim仿真图 2 仿真结果 3 误差分析及总结 五元器件的选择......................................................................... .. (19) 1 元件分析 1 元件清单 六 PCB设计......................................................................... ..................................................错误!未定义书签。0 1 原理图设计 2 选择封装 3 生成PCB 七制作与调试......................................................................... (22) 1 电路板的热转印,焊接元器件 2 故障排除并且接通电源 3 调试过程 4 数据记录和分析 八试验中遇到的问题 (25) 1 仿真过程遇到的问题 2 制作PCB遇到的问题 3 电路调试的时候遇到的问题 九心得体会 (26) 十参考文献............................................................................. . (27)

模电课程设计简易电子琴的设计

1. 模电课设概述 现在的电子琴一般使用PCM或AWM采样音源。所谓采样就是录制乐器的声音,将其 数字化后存入ROM里,然后按下键时CPU回放该音。甚至有一些高级编曲键盘可以使用外置采样(比如T yros 3的硬盘音色)。现代电子琴并非“模仿”乐器音色。它使用的就是真实乐器音色。当然,现在力度触感在电子琴里是必备的。而且现代电子琴还加上了老式电子琴的滤波器,振荡器,包络线控制来制造和编辑音色。甚至也带上了老式电子琴的FM 合成机构。 本次课程设计主要是通过对电子琴主体部分的电路进行模仿设计,按下不同琴键改变RC值,发出C调的八个基本音阶,采用运算放大器构成振荡电路,用集成功放电路输出 音调,从而达到电子琴固有的基本功能。 2. Proteus软件简介 Proteus软件是由英国LabCenter Electronics公司开发的EDA工具软件,由ISIS和ARES两个软件构成,其中ISIS是一款便捷的电子系统仿真平台软件,ARES是一款高级的布线编辑器,它集成了高级原理布线图、混合模式SPICE电路仿真、PCB设计以及自动布线来实现一个完整的电子设计。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。 Proteus软件的模拟仿真直接兼容厂商的SPICE模型,采用了扩充的SPICE3F5电路仿真模型,能够记录基于图表的频率特性、直流电的传输特性、参数的扫描、噪声的分析、傅里叶分析等,具有超过8000种的电路仿真模型。 Proteus软件支持许多通用的微控制器,如PIC、AVR、HC11以及8051;包含强大的调试工具,可对寄存器、存储器实时监测;具有断点调试功能及单步调试功能;具有对显示器、按钮、键盘等外设进行交互可视化仿真的功能。此外,Proteus可对IAR C-SPY、KEIL 等开发工具的源程序进行调试。 此外,在Proteus中配置了各种虚拟仪器,如示波器、逻辑分析仪、频率计,便于测 量和记录仿真的波形、数据。 3. 简易电子琴基本原理 3.1 音乐产生原理 由于一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我

基于51单片机的简易电子琴正确版

//简易电子琴 #include //包含51单片机寄存器定义的头文件 sbit P14=P1^4; //将P14位定义为P1.4引脚 sbit P15=P1^5; //将P15位定义为P1.5引脚 sbit P16=P1^6; //将P16位定义为P1.6引脚 sbit P17=P1^7; //将P17位定义为P1.7引脚 unsigned char keyval; //定义变量储存按键值 sbit sound=P3^6; //将sound位定义为P3.7 unsigned int C; //全局变量,储存定时器的定时常数 unsigned int f; //全局变量,储存音阶的频率 //以下是C调低音的音频宏定义 #define l_dao 262 //将“l_dao”宏定义为低音“1”的频率262Hz #define l_re 286 //将“l_re”宏定义为低音“2”的频率286Hz #define l_mi 311 //将“l_mi”宏定义为低音“3”的频率311Hz #define l_fa 349 //将“l_fa”宏定义为低音“4”的频率349Hz #define l_sao 392 //将“l_sao”宏定义为低音“5”的频率392Hz #define l_la 440 //将“l_a”宏定义为低音“6”的频率440Hz #define l_xi 494 //将“l_xi”宏定义为低音“7”的频率494Hz //以下是C调中音的音频宏定义 #define dao 523 //将“dao”宏定义为中音“1”的频率523Hz #define re 587 //将“re”宏定义为中音“2”的频率587Hz #define mi 659 //将“mi”宏定义为中音“3”的频率659Hz #define fa 698 //将“fa”宏定义为中音“4”的频率698Hz #define sao 784 //将“sao”宏定义为中音“5”的频率784Hz #define la 880 //将“la”宏定义为中音“6”的频率880Hz #define xi 987 //将“xi”宏定义为中音“7”的频率53 //以下是C调高音的音频宏定义 #define h_dao 1046 //将“h_dao”宏定义为高音“1”的频率1046Hz #define h_re 1174 //将“h_re”宏定义为高音“2”的频率1174Hz #define h_mi 1318 //将“h_mi”宏定义为高音“3”的频率1318Hz

简易电子琴设计与实现

简易电子琴设计与实现 一、概述 1.1 课题设计目的及其意义 单片机(单片微型计算机)是大规模集成电路技术发展的产物,具有高性能、高速度、体积小、价格低廉、稳定可靠等特点。单片机的应用相当广泛,从平常的家用电器到航空航天系统和国防军事、尖端武器都能找到它的身影。因此,单片机的开发应用已成为高科技和工程领域的一项重大课题。 随着社会的发展进步,人们的生活水平也逐步提高,音乐已经成为了我们生活中很重要的一部分,在工作和学习之余,欣赏音乐不仅使身心得到放松,同时也提高人们的精神品质和个人素养。当代,爱好音乐的年轻人越来越多,也有不少人自己练习弹奏乐器,作为业余爱好和一种放松的手段,鉴于一些乐器学习难度大需花费太多精力,且其价格太过于高昂,使得一部分有这种想法的人不得不放弃这种想法,而电子琴又是一种新型的键盘乐器,它是现代电子科技与音乐结合的产物,价格相对便宜,能够满足一般爱好者的需求,因此,在现代音乐中扮演着重要的角色。故简易电子琴的研制具有一定的社会意义。 1.2 课题设计的任务与主要内容 本文的主要内容是用AT89C51单片机为核心控制元件,设计一个简单的电子琴。以单片机作为主控核心,与键盘、扬声器等模块组成核心主控制模块,在主控模块上设有16个按键和扬声器。定时器按设置的定时参数产生中断,由于定时参数不同,就会发出不同频率的脉冲,不同频率的脉冲经喇叭驱动电路放大滤波后,就会发出不同音调。 先根据要求设计硬件电路和编写相应的程序,然后进行仿真调试,最后细心焊接硬件电路图,将程序烤入芯片中,最终达到设计目的。本系统运行稳定,其优点是硬件电路简单,软件功能完善,控制系统可靠,性价比较高等,具有一定的实用和参考价值。 具体实现的功能:按下音符键可以发出相应的音符。

简易电子琴实验报告

北京邮电大学 课题名称:简易电子琴的设计和制作学院:信息与通信工程学院 专业:信息工程 班级:2014211126 姓名:李家威 学号:2014210691 班内序号:10

指导老师:王丹志 一、摘要及关键字 本课程设计以制作出一个简易电子琴为最终目的。该电子琴以NE555为核心,通过公式计算不同频率按键对应的阻值来实现不同的音调,然后通过运算放大器将信号放大并通过喇叭发出声音。由此设计仿真电路图,选择合适器件进行电路搭建,并进行调试直至达到要求,最后进行数据统计。 关键字:电子琴振荡电路运算放大器 二、设计任务及要求 了解由555定时器构成简易电子琴的电路及原理。设计并利用NE555集成运算电路以及外加电阻,电容在第一级产生不同频率的音乐,再利用LM386功率放大电路对音乐信号进行放大,最后通过扬声器产生21个音符。

三、设计思路、总体结构框图 设计思路 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成施密特触发器、单稳态触发器及多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。由555定时器电路组成的多谐振荡器,它的振荡频率可以通过改变振荡电路中的RC原件的数值进行改变。根据这一原理,通过设定一些不同的RC数值并通过控制电路,按照一定的速度依次将不同的RC组件接入振荡电路,就可以使振荡电路按照设定的要求,有节奏的发射已设定的音频信号与音乐。

总体结构框图 四、分块电路和总体电路的设计分块电路: 琴键端(开关、电阻)

#基于51单片机的简易电子琴设计

基于51单片机的简易电子琴设计 一.问题提出 为什么选择简易电子琴设计? 1.对于音乐的兴趣 我们对音乐都有着浓厚的兴趣,喜欢听钢琴曲,如理查德·克莱德曼演奏的《思乡曲》《星空》《秋日的私语》等,音乐在我们的生活中扮演着很重要的角色。有人曾说,喜欢音乐的人不会向恶。以前不以为然,可是随着这些年来慢慢喜欢上阴郁,听了越来越多的钢琴曲之后,觉得这句话非常有道理。音乐是用艺术家用音符记录世界,传达情感的一种艺术形式,音乐里有一种和谐之美,听音乐可以让人心情舒畅,与外界和谐统一。音乐现在已经成为我生活中很重要的一部分,我们每周都会抽些时间去欣赏世界名曲,作为对精神的洗礼。2.对于电子琴的好奇 好奇是人的天性,人类对于自然的认识,对于科学的探索,无不始于好奇。 我们对电子琴如何实现其功能,如音色选择、声音强弱控制、节拍器、自动放音功能等等也很好奇,想通过学习单片机这个机会,深入了解电子琴的功能实现原理。 3.对于51单片机强大功能的信赖 51单片机有基本特性: (1)面向控制的8位CPU和指令系统 (2)4K字节的程序存储器(ROM或EPROM)

(3)128字节的数据存储器 (4)可编程的并行I/O口P0~P3,有32位双向输入/输出线 (5)一个全双工串行口 (6)两个16位定时器/计数器 (7)五个中断源,两个优先级的中断结构 (8)一个片内时钟振荡器和时钟电路 (9)可以寻址64K字节的程序存储器和64K字节的外部数据存储器51单片机功能强大,性能日趋完善,在工业测控、智能仪器仪表、机电一体化产品、家电领域中应用广泛,因此基于51单片机设计简易的电子琴可行性非常大。 二.功能需求 1.能够实现基本的琴键功能 即每按下一个琴键,单片机能够检测到键盘的按键,并根据按键的位置,通过程序来控制,使蜂鸣器发出不同频率(音调)的声音,声音延迟一段时间,等到按键放开后,声音停止。然后再继续扫描,看是否有键按下,如此循环下去,即可实现基本的琴键功能。 2.能够实现调节电子琴音色的功能 在键盘上设置功能键,通过按键来选择不同的音色。当选通后,按下琴键,蜂鸣器就一直发出此种音色的声音。直到下一次再次按下音色选择功能键,才会改变音色。系统共设置五种音色:长笛音,钢琴音,小号音,小提琴音,短笛音,默认状态为钢琴音。 3.能够实现通过按键自动播放歌曲的功能

简易电子琴

单片机课程设计报告 题目:电子琴的设计 课程:单片机课程设计 系别:三系 班级:12电子(2)班 学号:20120310243 姓名:赵兰兰 成绩: 指导教师:佘勃 完成日期:2014-2015 学年 2 学期

电子器课程设计 【摘要】随着社会的发展进步,音乐逐渐成为我们生活中很重要的一部分,有人曾说喜欢音乐的人不会向恶。我们都会抽空欣赏世界名曲,作为对精神的洗礼。本论文设计一个基于单片机的简易电子琴。电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演着重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。 本系统是以51系列单片机AT89C51为主控制器,附有矩阵键盘、LED显示管、扬声器组成。系统完成显示输入信息、播放相应音符等基本功能。本系统运行稳定,其优点是硬件电路简单,软件功能完善,控制系统可靠,性价比较高等,具有一定的实用和参考价值。 关键词:简易电子琴,键盘乐器,单片机。

Electronic course design Abstract W ith the development and progress of the society, music has gradually become a very important part of our life. Some people once said that the person who likes to music can not evil.. We will take time to enjoy the world famous songs, as the baptism of the spirit. This paper designs a simple keyboard based microcontroller. The electronic piano is the product of the modern electronic science and technology and the music, is a kind of new keyboard instrument. It played an important role in modern music. SCM has powerful control functions and flexible programming characteristics, it has converged with modern people's lives become an irreplaceable part. The system is based on the 51 series microcontroller AT89C51 controller, with matrix keyboard, LED display tube, speaker composition. The system completes the basic functions such as the input information and the playing the corresponding notes.. The system is stable, its advantages are simple hardware circuit, perfect software function, reliable control system, higher ratio of performance to price ratio, and have some practical and reference value. Key words :Simple keyboard, keyboard instrument, single chip microcomputer.

相关文档
相关文档 最新文档