文档库 最新最全的文档下载
当前位置:文档库 › EDA病房呼叫系统设计

EDA病房呼叫系统设计

EDA病房呼叫系统设计
EDA病房呼叫系统设计

EDA病房呼叫系统设计

目录

第1章设计说明 (4)

1.1 设计要求 (4)

1.2 模块介绍 (4)

1.3 真值表 (5)

第2章设计思路 (6)

第3章原理图及波形仿真图 (7)

3.1 呼叫模块 (7)

3.2 数码显示模块 (8)

3.3 指示灯模块 (8)

3.4 蜂鸣模块 (9)

3.5 总原理图及仿真图 (10)

第4章管脚锁定及硬件连线 (11)

第5章总结 (12)

第6章参考文献 (12)

设计题目:病房呼叫系统

第1章设计说明

1.1 设计要求:

1.用一个数码管显示呼叫信号的号码。

2.没信号呼叫时显示0;有多个信号呼叫时,显示优先级最高的呼叫号。

3.用5个拨码开关设置功能键,1号优先级最高,1-5号优先级依次降低。

4.用指示灯指示对应的病房有呼叫,有呼叫时蜂鸣3秒。

1.2 模块介绍:

1.模块一:呼叫模块

用5个开关模拟5个病房的呼叫输入信号,1号病房优先级最高,1至5号病房优先级依次降低。用74148对5个病房进行优先编码,对应三位二进制输出为优先级高的病房号。

2.模块二:数码管显示模块

74148的三位输出A0N,A1N,A2N分别与EON进行与连接,作为数码显示管的输入信号。没有病房呼叫时,显示0,有病房呼叫时,显示优先级高的病房号。

3.模块三:指示灯模块

用3线-8线译码器74138对74148的三位输出A0N,A1N,A2N进行译码,译码的5位输出分别经反向器接5个红色信号指示灯,优先级高的病房呼叫后为高电平,对应相应的病房指示灯亮。

4.模块四:蜂鸣模块

CLK信号为256Hz,要求蜂鸣响3秒钟的周期为256*3=768,采用3个74161实现计数功能。当有病房呼叫时计数器开始工作,蜂鸣器发声,当计时到3秒时,计数器清零,停止发声。

1.3 真值表:

74148,74161,74138真值表如下:

第2章设计思路

1.用5个开关控制5个病房的呼叫,高电平呼叫,低电平不呼叫。用74148对5个病房优先编码,当有多个病房同时呼叫时,只在数码显示管上显示优先级高的病房号。

2.对优先编码器的三位输出进行译码,用74138来实现,将得到五位输出,再分别与5个红色信号指示灯连接,即可显优先级高的病房的呼叫。

3.当有病房呼叫时,74138的五位输出进行或连接,再作为蜂鸣模块的输入信号,用3个74161来实现蜂鸣器每次响3秒的功能。

第3章原理图及波形仿真图3.1 模块一:呼叫模块

原理图:

波形仿真图:

3.2 模块二:数码管显示模块原理图:

波形仿真图:

3.3 模块三:指示灯模块

原理图:

波形仿真图:

3.4 模块四:蜂鸣模块原理图:

波形仿真图:

3.5 总原理图及波形仿真图:

总原理图:

总波形仿真图:

经连线下载后,拨动开关,数码显示管显示对应的病房号,对应红色信号指示灯亮,蜂鸣器响3秒,结果符合题目设计要求,实验设计成功。

第4章管脚锁定及硬件连线(输入/输出——管脚号——硬件)IN1——39——开关SW1

IN2——40——开关SW2

IN3——41——开关SW3

IN4——44——开关SW4

IN5——45——开关SW5

CLK——88——低频率组B 256HZ 引脚13

SHUMA1——127——数码显示管

SHUMA2——128——数码显示管

SHUMA3——131——数码显示管

HHH——132——数码显示管

DS1——94——数码显示管

LED1——12——红色信号指示灯L1

LED2——13——红色信号指示灯L2

LED3——14——红色信号指示灯L3

LED4——15——红色信号指示灯L4

LED5——17——红色信号指示灯L5

FENGMING——38——蜂鸣器

第5章总结

这次EDA课程设计给了我一次实践的机会,把书本上所学习到的知识通过仿真模拟将抽象的电路具体化,了解到了一些生活中常见设备的工作原理。也学会了MAX-plus2软件的使用,为设计电路打下了基础。书本中学到的知识只有经过具体的实验操作过程中的灵活运用,才能被深刻理解,完全掌握。

通过这一周的课程设计,我学会了独立思考,解决问题的重要性。在设计电路的过程中也遇到了很多问题,但通过自己的努力和老师同学的帮助下都逐一解决。设计电路要求细心,也要有耐心,只有通过不断改进调试才能取得最终的成果。这就是工科学生应该具有的严谨的科学态度,才能够有提高能力的机会。

虽然我这次的题目只是一个生活中的小应用,但也让我了解了设计一个电路的大体过程,这对我们今后的学习和工作有很大的帮助作用。

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。

第6章参考文献

阎石.数字电子技术基础(第五版).高等教育出版社.2006年

周莲莲.郑兆兆.李艳艳.EDA课程设计B指导书.2010年

医院病房呼叫器的设计_绝对经典

课程设计任务书 课程名称电子线路课程设计 课程设计题目医院病房呼叫器的设计 课程设计的容及要求: 一、设计说明与技术指标 1.用1~4个开关模拟4个病房的呼叫输入信号,1号优先级最高;1~4优先级依次降低; 2.用数码管显示呼叫信号的;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3.凡有呼叫发出5秒的呼叫声; 4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用multisim软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]:高等教育,2006年 2. 阎石,数字电子技术(第五版).[M]:高等教育,2005. 3. 孝彬《555集成电路实用电路集》高等教育2002-8 4. 王刚《TTL集成电路应用》机械工业2000-10 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字: 年月日一、概述

本设计的主要目的是实现一个当医院病房发生紧急情况时,病房之中又有多个病人需要护理的一个优先级别的电路。用于医院病房需要呼叫具有优先级别的呼叫系统。当有病人进行呼叫时,系统会自动先处理具有优先级别的病房的编号,同时产生光信号和5秒钟的声音信号。使用该系统,不仅能够提高医生的工作效率,便于医生及时了解病人的实际状况,还能够让病人的需要及时得到满足。 二、方案论证 根据设计要求,将此设计分为几个模块来设计,分别为:指示灯显示模块,优先显示模块,报警模块。首先用四个开关来代替四个病房的呼叫按钮,四个二极管灯代表四个病房,当开关闭合后,对应的病房的灯发光,然后利用与非门74LS30的功能,当其输出为高电平时,就会使NE555芯片产生脉冲信号,然后使NE555芯片的out输出端产生高电平,促使报警器报警,根据NE555芯片的外接电阻和电容的大小,可调整报警器的报警时间。当开关断开时,即74LS30输出为低电平,所以NE555芯片没有被触发,其out输出端为低电平,报警器没有报警,也就是病房没有病人呼叫,一切正常。开关闭合后,将经过存储的信号送入优先编码器74HC148,根据优先编码器的优先选择功能选出优先级最高的呼叫信号,再通过译码器74LS48译码,最后通过数码管显示报警的病房,然后医生会及时的根据报警情况去查看病人。此方案的论证流程图如图2.1。 图1 病房呼叫系统电路的原理框图 三、单元电路设计 1、指示灯显示模块

病房呼叫系统设计报告

病房呼叫系统设计报告 一、设计要求 (2) 二、设计的具体实现 (2) 1、系统概述 (2) 2、单元电路设计与分析 (4) 2.1 5秒呼叫模块 (4) 2.2 呼叫显示模块 (6) 2.3 优先显示模块 (7)

一、设计要求 此设计是用于医院病人的紧急呼叫,其设计要求如下: 1.当病人按下呼救信号按钮,呼救灯亮,同时显示病人编号,蜂鸣器发出5秒呼救声,等待医护人员来护理。 2.按照病人的病情划分出优先级别,有多个病人同时呼救时,系统优先显示最高级别的呼救编号。 3.当医护人员处理完最高级别呼救后,按下清零键,系统按优先等级先后显示其他病人编号。 二、设计的具体实现 1.系统概述 本设计的指导思想是设计一个当病人紧急呼叫时,产生声光提示,并显示病人编号;然后根据病人病情进行优先级别设置,当有多人呼叫时,病情严重优先;医护人员处理完当前最高级别的呼叫后,清除已处理的最高级别的呼叫信号,系统按优先级别显示其他呼叫病人的编号。由呼叫信号的锁存,CD4532优先编码,由744511译码显示和逻辑控制清除几部分构成,其核心在CD4532优先编码器。 方案:病房呼叫系统的逻辑门电路如图1.1所示。它由模拟开关、优先编码器、锁存器、数码管、逻辑门、信号灯、单稳态触发器、蜂鸣器组成。模拟病房号通过优先编码器显示优先级最高的病床号。并且通过锁存器储存起来,按R键将清除已处理的信号。 病房呼叫系统的逻辑方框图

由上述图文说明可看出此方案能够对最优先级别的呼叫信号进行处理,编码和译码,最后显示出来。在完成最优先级呼叫信号的处理之后,可以通过医护人员手动复位,从而对其他信号的处理。 工作原理:用D锁存器锁存,再用一个8线-3线优先编码器4532对病房号编码,再用译码器4511译出最高级的病房号。当有病房号呼叫时,通过译码器和逻辑门触发(由555构成的单稳触发器)从而控制蜂鸣器发出5秒钟的呼叫声。呼叫信号控制晶闸管从而控制病房报警灯的关亮。若有多个病房同时呼叫,待医护人员处置好最高级的病房后,由人工将系统的复位(手动)。 工作流程图

病房呼叫系统方案

呼叫系统方案 主机与病员一览表合二为一,并设有万年历、呼叫床位指示灯和病房床位数码显示窗口,外壳超薄型设计,造型特别精巧,可壁挂、桌面任意放置;分机采用最新编码电路编号,变更床号只需更换编码芯片即可,外壳采用了全塑外扣式设计,适用于任何形式的安装配套;分机为内压线式接线,实现了房间内无断线的连接。使用、安装、维护更安全更方便。: 1、该医院呼叫系统双向呼叫、双功通话:分机可呼叫主机,主机也可呼叫分机,送话受话无需转换。 2、主机多功能显示:主机可显示万年历,护理等级,呼叫床号、序号等。 3、三级护理设置:可根据病员病情任意设置高、中、低级护理床位,并在主机上有不同颜色的灯显示。 4、高级优先:高级病员的呼叫可以中断低级病员的通话。 5、话筒统播:可通过主话机作下通知、找人等统播。 6、宣教广播:可接入录音机等信号源作宣传教育广播。 7、主机免提:分机呼入时主机可免提与分机对讲。 8、无中断呼叫:无论在通话、广播等状态只要有呼叫均能呼入并给出显示。 9、呼叫存储:分机呼叫而主机无人接时主机将该分机号存储。 10、走廊显示屏:可显示呼叫分机号、存贮分机号和呼叫序号;无呼叫存贮时显示时间。 11、与计算机连网:由计算机将病员的呼叫时间、呼叫次数、处理时间、超时处理等进行统计,为考核服务质量提供技术上的保证。 12、故障自检报警:当系统出现故障时主机显示窗口及显示屏均能给出数字显示,并伴有声响报警提示: 1、主机最大分机容量:50门、60门 2、最长连线距离:800米 3、最大存储量:9个 4、电源:220V±10%、50Hz 5、工作方式:连续 6、功耗:<20W 7、总线电压:18V 8、工作条件:环境温度0~40℃相对湿度≤80% 医院呼叫系统使用方法: 1、分机呼叫主机:按一病房呼叫系统分机床头垂线呼叫按钮。分机上“叫通指示”灯点亮主机发出音乐振铃声,病员一览表相对应的卡片上有灯光闪烁,同时分机也伴有音乐振铃声。数码显示窗口上显示该分机号。在音乐振铃期间,护士摘起主话机即可与病员通话,通话结束,将主话机挂机,系统恢复待机状态。如果直到振铃结束,护士未摘主话机,主机将该分机号存贮,若想再与该分机通话,需按主机呼叫分机操作。 2、主机呼叫分机:摘起主话机按一下欲呼叫的床位对应的小按钮或是在主话机上键入两位分机号(1-9号应按01-09),被叫通的分机将发出“嘟”一声提示音表示已接通。如果所拨叫的分机不存在,听筒里将发出“嘀、嘀、嘀、嘀—”的声音作为提示,然后重新给出拨号

病房呼叫系统设计

毕业设计(论文) 题目:病房呼叫系统设计 院 (系):机电工程系 专业:电气自动化技术 姓名:马兆龙 学号: 58020220100138 指导教师:刘静 二〇一三年一月十六日

毕业设计(论文)任务书

毕业设计(论文)进度计划表

毕业设计(论文)中期检查记录表

病房呼叫系统是病人请求值班医生或护士进行诊断、护理的紧急呼叫工具,它主要用于协助医院病员在病床上方便地呼叫医务人员,可将病人的请求快速传送给值班医生或护士,是提高医院和病房护理水平的必备设备之一。医院的竞争越来越激烈,商业医院的生存是第一位的,提升档次和服务质量迫在眉睫,陪护问题一直是医患矛盾的主体,也是长期困扰卫生系统服务质量的大问题,使用病房呼叫系统,方便病人更快找到医生,以节约病人的宝贵时间。 系统是基于51系列的单片机设计的病床呼叫系统。该系统以AT89C51单片机为核心辅以矩阵键盘、LED显示电路和部分简单模拟和数字电路组成的能够实现病人和医护人员之间信息的传递。每个病人要呼叫可以按键,同时会有蜂鸣器响,不同的数码管显示床位号,相应的指示灯亮。这里主要矩阵键盘输入信号,这是编程的关键。 在该设计中每个病房都有一个按键,当患者有需要时,按下按键,此时值班室的显示屏可显示此患者的床位号,多人使用时可实现循环显示,医护人员按下“响应”键取消当前呼叫。此系统能够为医院提供一个成本低、效率高、操作方便和易于安装维护的快捷系统。 关键词:AT89C51 矩阵键盘 LED 病床呼叫

Ward call system is the patient's request to the doctor on duty or nurse emergency call tools for diagnosis, care, and it is mainly used to assist the hospital patient in the bed easily call the medical staff, the patient's request can be quickly sent to the duty doctor or nurse is to improve one of the essential equipment of hospital and ward level of care.Increasingly fierce competition in the hospital, commercial hospital survival is the first to enhance the grade and quality of service is imminent,accompany the issue has been the main body of the patient conflict, but also long-troubled health system quality of service issues, using the ward call system more convenient for patients to find a doctor to save the valuable time of the patient. The system is a ward call system based on the 51 series micro-chip design. The sy-stem uses AT89C51 micro-controller as the core, supplemented by matrix keyboard, LED dot matrix display of a simple circuit and some analog and digital circuits to achieve the transfer of information between patients and health care. In this design,each ward has a button, when patients in need, press the button, the display of the duty room to display the number of beds in this patient, people use to achieve circular display, press the medical staff "response" to cancel the current call. This system can provide a low cost, high efficiency, easy operation and easy installation and maintenance system for the hospital. KEY WORD :AT89C51 matrix keyboard LED ward call

数电病房呼叫系统设计

病房呼叫系统设计 某医院有一、二、三、四号病室4间,每室设有呼叫按钮,同时在护士值班室内对应地装有一号、二号、三号、四号4个指示灯。 现要求当一号病室的按钮按下时,无论其它病室的按钮是否按下,只有一号灯亮。当一号病室的按钮没有按下而二号病室的按钮按下时,无论三、四号病室的按钮是否按下,只有二号灯亮。当一、二号病室的按钮都未按下而三号病室的按钮按下时,无论四号病室的按钮是否按下,只有三号灯亮。只有在一、二、三号病室的按钮均未按下而按下四号病室的按钮时,四号灯才亮。试用优先编码器74LS148和门电路设计满足上述控制要求的逻辑电路,给出控制四个指示灯状态的高、低电平信号。 一、实验目的: (1)、熟悉优先编码器的优先功能及应用。 (2)、掌握译码显示电路设计。 二、实验内容: 试用优先编码器74LS148芯片和必要的门电路设计一个病房呼叫系统。具体要求如下: (1)、共有一、二、三、四号病房,每个房间装有呼叫按钮。(2)、各病室的呼叫优先权不同,其中,一号病室的优先权最高,四号病室最低。 (3)、在护士值班室内有相应的显示电路,能看到当前呼叫病室的房间号。

三、实验原理: 优先编码74LS148的原理图如图1所示,其功能表如图2所示。 74LS00管脚图 74LS148管脚图

74LS148的功能表 四、实验器材: (1)、数字电路实验箱一台(2)、元器件 集成门电路:74LS00等若干 优先编码器74LS148芯片 1片 按键开关 4个 共阴极七段数码管 1位 蜂鸣器或扬声器 1个 LED指示灯 1个 电阻若干 五、求解:

解:输入变量:A1’,A2’,A3’,A4’;0:按下按钮,1:没有按下; 输出变量:Z1,Z2,Z3,Z4;1:灯亮,0:灯不亮; A1' A2' A3' A4'Y2 Y1 Y0 Y2' Y1' Y0'Z1 Z2 Z3 Z4 0 X X X 0 1 1 1 0 0 1 0 0 0 1 0 X X 0 1 0 1 0 1 0 1 0 0 1 1 0 X 0 0 1 1 1 0 0 0 1 0 1 1 1 0 0 0 0 1 1 1 0 0 0 1 由真值表写出: Z1=Y2'(Y1')'(Y0')'=Y2'Y1 Y0 Z2=Y2'(Y1')'Y0'=Y2'Y1 Y0' Z3=Y2'Y1'(Y0')'=Y2'Y1'Y0 Z4=Y2'Y1'Y0' 据此画出如图所示的电路连接图: 六、注意事项: 接线时要注意各芯片及数码管输入端的位权顺序。

病房呼叫系统设计

《病房呼叫系统EDA》 设计报告 题目:病房呼叫系统设计 学院:电子信息与电气工程学院专业:电子信息工程 班级: 姓名: 学号:

目录 一、设计要求 (2) 二、病房呼叫系统设计背景及其研究意义 (2) 三、设计思路 (2) 四、基本原理 (3) 五、设计内容及步骤 (3) 六、对设计的体会与感想 (9) 七、参考文献 (10)

病房呼叫系统设计 一、设计要求 1、用1~6个开关模拟6个病房的呼叫输入信号,1号优先级最高;1~6优先级依次降低; 2、用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3、凡有呼叫发出5秒的呼叫声; 4、对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。 二、病房呼叫系统设计背景及其研究意义 随着科学技术的发展,越来越多的科技设备应用到生活的方方面面,其中在医疗领域尤其多。病房呼叫系统这个设计就是应用于医院的一个简单的系统模型,其目的是为了方便医护人员更好地照顾病人,提高工作效率。病房呼叫系统的优先编码设置可以让相对更需要救助的病人第一时间得到救助,其蜂鸣器和数码管、等设置可以直观的提醒医护人员是否有病房呼叫及呼叫的病房号。 医院,在当代生活中已是必不可少,它在人们的生活中越来越扮演着重要角色,医院让我们的生活更加安心。医院的医学技术与科技设备齐全是人们生活健康的保障,而病房呼叫系统在医院病房更加必不可少,它关系的病人的安危,完善的病房呼叫系统让病人更加安心。 三、设计思路 本次课程设计的题目是病房呼叫系统,有六个病房,分别编号为1、2、3、4、5、6,其优先级依次降低。即当一号病房有信号输出的时候,即使其他病房有信号输出系统也不会响应。当二号病房有信号

病房呼叫系统

病房呼叫系统 实验报告 专业:电气与电子信息工程 班级:电气英才1301班 姓名:刘苗薛鹏飞 学号:1304080275 1304080046 2015年5月15日

武汉轻工大学 摘要 随着科技的发展,数字技术被越来越广泛地应用在各个领域,极大地提高工作效率,减轻了工作负担。在医院里,为了给病人提供更多更好更快的服务,及时了解病人的需求,病房呼叫器便应运而生了。本设计中,要实现一个具有优先级别的病房呼叫系统。这里,最核心的技术是,先通过一个74LS148对输入进行编码,再用锁存器74HC573进行锁存,再接入数码管译码器74LS48进行译码,最终显示到数码管上。报警闪烁和报警声分别用2个555电路产生的方波驱动实现。用锁存住的呼叫信号同时触发这2个555发生电路,其中报警闪烁方波频率可设为1hz,报警声音频方波频率可设为128hz。报警闪烁方波信号接到数码管的COM端(阴极)控制数码管的闪烁;报警声音频方波经放大后接到蜂鸣器上。当清除键按下时,呼叫信号被清除,2个555均停止工作。 关键字:74HC573、74LS148、74LS48、NE555、蜂鸣器

武汉轻工大学 目录 摘要 (1) 目录 (2) 1设计任务与要求 (2) 1.1设计任务 (2) 1.2设计要求 (3) 2方案设计 (3) 3电路与参数的计算 (3) 3.1总体结构框图 (3) 3.2按键模块 (4) 3.3显示模块 (5) 3.3报警模块 (6) 3.4电源模块 (6) 3.5复位模块 (7) 4整体电路图 (8) 5 系统调试与测试 (8) 5.1主控制电路的测试与调整 (8) 5.2显示电路的调整 (8) 5.3指示灯电路的测试与调整 (9) 6 设计总结 (9) 1设计任务与要求 1.1设计任务 通过实际设计制作一个病房呼叫系统,学习掌握电子系统设计的基本流程;了解

病房呼叫系统-课程设计

I 数字电路课程设计题目:病房呼叫系统设计 院系:工学院电气与电子工程系 专业:电气工程及其自动化 班级: 姓名: 学号: 指导教师: 烟台南山学院教务处 二〇一七年六月

病房呼叫系统设计 摘要 随着现在医疗机构的发展,病房呼叫系统成为每个医院必须的设备。本次设计完成的是病房呼叫系统。该设备含有优先级,监控设备放置在医生值班时内,当病床有请求呼叫时指示灯进行提醒,并显示几号床位。而当多个请求同时呼叫时,优先级高的病床会显示,并且蜂鸣器连接的计算机扬声器会发声本设计主要四个模块:呼叫模块、优先选择模块、译码显示模块。其中运用了74HC148来实现优先选择模块的主要功能 关键词病房呼叫系统优先级模块

Ward call system design Abstract With the development of medical institutions, the ward call system becomes a necessary device for every hospital.This design completes the ward call system. The device has a priority, the monitoring device is placed in the doctor's watch, and when the bed has a request call, the light is alerted and a number of beds are displayed. And when multiple requests are called at the same time, a high-priority bed will be displayed, and a computer speaker connected to the buzzer will speak. The main four modules of this design: call module, priority selection module, decoder display module. It USES 74HC148 to implement the main function of the preference module. Keywords Ward call systempriority modules

病房呼叫系统的PLC设计-毕业设计

病房呼叫系统的PLC设计 目录 一、概述 (2) 1、可编程控制器简介 (2) 2、可编程控制器的发展 (2) 3、可编程序控制器的基本结构及工作原理 (2) 二、课题背景 (3) 1、课题背景 (3) 2、研究的目的和意义 (3) 三、已知情况、控制要求、设计任务 (4) 1、已知情况 (4) 2、控制要求 (4) 3、设计任务 (4) 四、总体设计思路 (5) 五、程序设计与调试 (5) 1、I/O分配 (5) 2、实现功能图 (8) 3、外部接线图 (8) 4、梯形图 (12) 5指令表 (14) 6、运行及调试 (18) 六、课程设计总结 (19) 七、致谢 (20)

八、参考文献 (20) 摘要 在现代医院的医疗程序管理当中,拥有先进及现代化的病床呼叫中心系统能够更好地去完善社会上各家医院的医疗服务体系,从而提升医院的名誉、地位。在以往的医疗进程管理体系里,当发生紧急事故或者需要呼叫护士的服务时,病人可能会因为继电器机械腐蚀的原因而无法有效及时地向候命的护士发出求助信号,从而导致一些不可挽回的医疗事故。在本次机电综合课程设计中,我对医疗建设中的病床呼叫系统进行了基于可编程控制器的改良系统设计。众所周知,可编程序控制器,也就是PLC,具有响应速度快,控制简单,易于编程等优点。利用这些优点,将PLC控制系统融入病床呼叫控制系统的设计,就能够大大提升护士处理病人需求、处理紧急病情的效率,同时减少医疗事故发生的几率。由于每一张病床的控制流程都是相同的,所以在进行系统程序设计的时候,只需要设计出一个响应模式,其他的病床只要套用这个模式去编写程序了。 关键词:医疗病床呼叫可编程控制器

05病房呼叫系统方案

目录 病房呼叫系统 (1) 1系统概述 (1) 2需求分析 (2) 3设计依据与设计原则 (2) 4系统设计说明 (3) 4.1 系统的基本组成 (3) 4.2 系统的基本功能 (3) 4.3 系统点位设置 (4) 5系统主要设备介绍 (5) 5.1 三十路对讲主机(型号:NBW-30) (5) 5.2 三十路病员一览表(型号:NBW-30P) (5) 5.3 嵌入式分机(型号:NBW-A) (6) 5.4 防水报警开关(型号:NBW-AN) (6) 5.5 输液报警器(型号:NBW-G) (6) 5.6 外配电源(型号:NBW-B-05) (7) 5.7 小门灯(型号:NBW-M) (7) 5.8 无线发射机(型号:NBW-T) (7) 5.9 无线接收机(型号:NBW-R) (8)

病房呼叫系统 1系统概述 对讲-医院护理对讲系统(双工、两芯总线制)主要用在:医院、疗养院、敬老院等需要护理对讲的场所。 社会不断的进步。物质生活和精神生活越来越丰富多彩。健康快乐的生活是每一个人的愿望。但疾病一直以来伴随着人类。每个人或多或少的会生病。就要看病、打针、吃药、住院。医院也不断地完善着医护人员医技、服务质量和医疗设备。以服务于大众。提高人们的生活质量。真正做到有病医得起、看得好。创造出一个和谐的社会。 在医疗设备方面。医护对讲系统是医院不可或缺的通信设备。医院护理对讲系统采用两芯总线制系统架构。方便施工。根据不同的机型每条总线可并接30或60台分机。分机之间是平级关系。在总线上发送数字脉冲地址码。以便于被主机识别。主机提供485通信接口。可以连接射频发射机、显示屏、监控统计集线器。医护人员在巡视过程中。可以利用携带的射频接收机接受射频发射机传来的数据。以确定病床呼叫。走廊显示屏提供警示作用。能够显示呼叫分机号码便于医护人员查看。系统每日的通信信息可以通过监控统计集线器接入计算机。进行数据处理。可以表格形式打印。以便管理人员查询。输液报警器是医护对讲系统中的新产品。配合分机使用。输液管夹装在其中。当输液完毕时有“Bi、Bi”报警提示声,输液报警器同时自动阻断输液管。并通过分机向护士站的主机发送输液完毕报警信号。单独使用时,需另配电源。为了医院管理的方便。系统主机提供并接功能。最多可实现四级管理。 医院护理对讲系统电路设计合理、工作稳定、维护率低。是医院理想的通信设备。系统包括有多种容量的对讲主机,如三十路对讲主机、三十路病员一览表、六十路对讲主机、六十路病员一览表,超薄分机、嵌入式分机、防水开关、输液报警器、外配电源、小门灯、点阵双面走廊显示屏、无线发射机、无线接收机、监控统计集线盒、监控统计软件等构成。

病房呼叫系统

电气工程学院 数字电子电路课程设计报告书 姓名:XX 班级:XXXXXXXXXXXXX 学号:XXXXXXXX 完成日期:XXXXXXXXXXXX

目录 设计要求--------------------------------------------------------------------------3 一、整体设计构想--------------------------------------------------------------3 二、仿真软件简要介绍--------------------------------------------------------3 三、系统分模块设计过程-----------------------------------------------------3 1、开关控制指示灯模块---------------------------------------------------------------3 2、编码器与译码器转换模块----------------------------------------------------------4 3、数码管显示模块-------------------------------------------------------------------7 4、蜂鸣器呼叫模块-------------------------------------------------------------------7 四、系统完成电路图-----------------------------------------------------------8 五、课程设计总结--------------------------------------------------------------9

病房呼叫系统

太原工业学院 20**-20**学年第一学期 实践环节名称:数字电子技术课程设计课外作业名称:病房呼叫系统 系部名称:电子工程系 专业: 班级学号: 姓名: 指导教师: 实践周次: 17 周 成绩:

一、课程设计思路 根据数字电路知识,利用优先编码器、显示译码器和555等电路元件实现各项功能。 1.1 设计题目 病房呼叫系统。 1.2 设计要求 用1~5各开关模拟5个病房的呼叫输入信号,1号优先级最高;1~5优先级依次降低;用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其他呼叫用指示灯显示);凡有呼叫发出5秒的呼叫声。1.3 设计想法: 1.3.1整体设计思路 根据设计要求,我们将设计分为几个模块来设计,分别为:选优模块(对病房选优)、显示模块、蜂鸣模块。 1.3.2整体设计流程 (1)用5个发光二极管对应5个病房。 (2)将经过存储的信号送入优先编码器74148,选出优先级最高的呼叫信号,再通过显示译码器显示。 (3)用555构成多谐震荡电路,形成呼叫。 二、课程设计过程 2.1 模块分配 指示灯显示模块、优先显示模块。呼叫模块。 2.2 模块具体设计 (1)指示灯显示模块(LIGHT) 将病房呼叫信号输入到发光二极管中,使发光二极管发光。如图(1):

图(1) 图(1)中的五个开关分别对应着五个病房,又由于74ls148是低电平有效,所以在这指示灯模块中使用了图中所示方法输入信号。所接电阻都为限流电阻。 (2)优先显示模块 由设计要求,数码管要显示优先级最高的病房的呼叫信号,所以我们须得对病房呼叫信号进行选优。出于设计考虑,优先级最高为5,然后为4,依次到1。如图(2)所示: 图(2) 此电路由模拟开关、优先编码器74ls148,译码器74ls48、非门74ls04和数码管等组成。模拟开关初始状态为全高电平。将模拟开关的所有输入端,EI接高电平Vcc.74ls148的输出A0、A1、A2分别接入译码器74LS48的A,B,C;D接地。译码器74LS48的输出A---G对应接共阴数码管的a---g。 优先编码器74LS148的功能表如图(3)所示:

医院病房呼叫器的设计绝对经典

医院病房呼叫器的设计 绝对经典 -CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN

课程设计任务书 课程名称电子线路课程设计 课程设计题目医院病房呼叫器的设计 课程设计的内容及要求: 一、设计说明与技术指标 1.用1~4个开关模拟4个病房的呼叫输入信号,1号优先级最高;1~4优先级依次降低; 2.用数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3.凡有呼叫发出5秒的呼叫声; 4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用multisim软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006年 2. 阎石,数字电子技术(第五版).[M]北京:高等教育出版社,2005. 3. 陈孝彬《555集成电路实用电路集》高等教育出版社2002-8 4. 王刚《TTL集成电路应用》机械工业出版社 2000-10 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字: 年月日 一、概述

本设计的主要目的是实现一个当医院病房发生紧急情况时,病房之中又有多个病人需要护理的一个优先级别的电路。用于医院病房需要呼叫具有优先级别的呼叫系统。当有病人进行呼叫时,系统会自动先处理具有优先级别的病房的编号,同时产生光信号和5秒钟的声音信号。使用该系统,不仅能够提高医生的工作效率,便于医生及时了解病人的实际状况,还能够让病人的需要及时得到满足。 二、方案论证 根据设计要求,将此设计分为几个模块来设计,分别为:指示灯显示模块,优先显示模块,报警模块。首先用四个开关来代替四个病房的呼叫按钮,四个二极管灯代表四个病房,当开关闭合后,对应的病房的灯发光,然后利用与非门74LS30的功能,当其输出为高电平时,就会使NE555芯片产生脉冲信号,然后使NE555芯片的out 输出端产生高电平,促使报警器报警,根据NE555芯片的外接电阻和电容的大小,可调整报警器的报警时间。当开关断开时,即74LS30输出为低电平,所以NE555芯片没有被触发,其out 输出端为低电平,报警器没有报警,也就是病房没有病人呼叫,一切正常。开关闭合后,将经过存储的信号送入优先编码器74HC148,根据优先编码器的优先选择功能选出优先级最高的呼叫信号,再通过译码器74LS48译码,最后通过数码管显示报警的病房,然后医生会及时的根据报警情况去查看病人。此方案的论证流程图如图2.1。 图 1 病房呼叫系统电路的原理框图 三、单元电路设计

基于单片机的病房呼叫系统设计 (3)

基础课程设计(论文) 基于单片机的病房呼叫系 统设计 学生姓名: 指导教师: 学生学号: 专业:电气工程及其自动化 信息技术学院电气工程系 2012年12月

摘要 在大型机关,旅馆和医院中,常需要有一种内部联络和呼叫系统,以便在旅客(病员)和服务人员之间建立必要的联络,这种呼叫指示系统在提示的同时,能够用数码管显示各呼叫的号码。本设计是以AT89C51为核心的病人呼叫系统,对该系统的硬件和软件结构进行了相应的描述。通过对病区的数据采集,实现医院医疗人员值班室和病人房之间的通信呼叫联系,具有使用方便、操作简单等特点。这使得衡量一个医院的综合水平高低,不再仅局限于软、硬件的建设上,更要比服务。临床呼叫求助装置是传送临床信息的重要手段,关系病员安危,传统的病房呼叫系统普遍采用有线式,虽然布线安装繁琐、维护不便、利用率低,而且实时性差。但是相对无线式呼叫系统而言,它的可靠性高,不会干扰其它医疗仪器设备,目前大多数医院采用有线呼叫系统,在医院的病房里每个床位边都装有一个呼叫按钮,当病人需要帮助时,按下呼叫按钮,护士办公室里呼叫显示板上相应房间号的指示灯点亮并进行声音提示。 关键词:单片机;程序;数码管;AT89C51;呼叫系统

目录 关键词 (1) 1 任务提出与方案论证 (1) 1.1 单片机病房呼叫系统的发展史 (1) 1.2 功能简介 (2) 1.3 设计任务 (2) 1.4 设计要求 (2) 2 总体设计 (3) 2.1 单片机的引脚介绍 (4) 2.2 单片机复位电路介绍 (5) 2.3 时钟电路的介绍 (6) 2.4 单片机的开发软件介绍 (6) 3 详细设计 (7) 3.1 LED显示接口技术介绍 (7) 3.2 MXP2彩频LCD电路模块 (9) 3.3 报警电路设计 (10) 3.4 键盘模块电路设计 (10) 3.5 键盘的工作方式介绍 (11) 3.6 系统软件的设计 (12) 3.6.1 主程序流程图 (12) 3.6.2 子程序流程图 (13) 3.6.3 源程序代码 (16) 4 总结 (19) 参考文献 (21)

EDA课程设计 病房呼叫系统

EDA课程设计报告 ——病房呼叫系统的设计 一、 设计说明:假设某医院有7个病房房间,每间病房门口设有呼叫显示灯,室内设 有紧急呼叫开关,同时在护士值班室设有一个数码显示管,可对应显示病室的呼 叫号码。 当病人按下紧急呼叫开关时,护士值班室的数码显示管可对应显示病室的呼叫号 码,并且蜂鸣器发出警报声音一提醒医务工作人员。 总电路图: ? 并且要求当“l”号病房的按钮按下时,无论其他病室的按钮是否按下,护士值 班室的数码显示“1”,即l号病室的优先级别最高,其他病室的级别依次递减, 7号病室最低,当7个病房中有若干个请求呼叫开关合上时,护士值班室的数码 管所显示的号码即为当前相对优先级别最高的病室呼叫的号码,同时在有呼叫的 病房门口的指示灯闪烁。待护士按优先级处理完后,将该病房的呼叫开关打开, 再去处理下一个相对最高优先级的病房的事务。全部处理完毕后,即没有病室呼 叫,此时值班室的数码管熄灭。

例如 : (1)闭合开关2,数码管显示“2”, 并且蜂呜器SP 令计算机上的扬声器发声。 ? (2)闭合开关2、4、7,由于病房的优先级从高到低依次为1、2、3、4、5、6、7,所以数码管显示2.

(2)本设计中采用了8/3优先编码器74LS148N,74LS148N有8个数据端(0---7),3个数据输出端(A0---A1),1个使能输入端(EI:低电平有效),两个输出端(GS、EO)。数据输出端A---C根据输入端的选通变化,分别输出000---111这0---7二进制码,经逻辑组合电路与74LS47D七段译码器/驱动器的数据输入端(A---C)相连,最终实现设计要求的电路功能,电路如图所示。电路中异或门74LS86D

最新整理病房呼叫系统施工方案教案资料

1.3. 2.6 病房呼叫系统施工方案 病房呼叫系统主要用于医院护理区、以沟通住院病人与医护人员的联络,提高医院的护理水平,减轻护士劳动强度,使病人能得到良好与及时的医疗和护理服务,为医院上等级而开发的配套产品。 本系统由对讲主机、分机和走廊大显示屏组成。本系统每台主机和各分机之间使用两根总线并联,不分极性,施工既简单又节省材料。用户可自由选择用明装分机或暗装分机。每张病床设分机一个,连接手持式呼叫按钮,放音逼真、清晰。主机设置于护士站内,每个护士站设一台,在走廊内设一块四位、双面走道显示屏,平时显示时间,有病床呼叫护士时,走廊显示屏显示呼叫病床床号。 本系统二层母婴同室护理单元、四至十一层标准护理单元每个护士站配置一台90路对讲型主机,在走廊中间位置设一块双面显示屏;病区每张病床安装一只对讲分机,在每间病房的卫生间内安装一只防水开关,每间病房门口设一只门灯,每张病床安装的对讲分机为超薄型分机,安装在病房设备带上;卫生间防水开关安装高度为高地1.1m;门灯安装在走廊倒各病房门套上。 三层血透中心每张病床安装一只对讲分机,护办配置一台30路对讲型主机,在走廊基本中间位置设一块双面显示屏。 一层大输液厅每张座椅安装一只对讲分机,护士站配置一台30路和90路主机。 二层儿童输液厅每张座椅安装一只对讲分机,治疗室配置一台60路主机。 护理单元及血透中心每张病订安装的对讲分机为超薄型分机,安装在病房设备带上;系统采用总线式,对讲主机对各对讲分机、防水开关之间采用手拉手方式连接。输液大厅和儿童输液厅呼叫器由吊平顶内沿输液杆引下安装,卧室输液厅呼叫器安装在设备带上(若无设备带,则离地1.1米墙面安装)。 工程施工 设备安装前的准备 安装设备前需对系统所有线路进行全面检查,是否存在断线或短路现象; 确认整个系统的线路无任何故障后,方可安装设备,接线的各接点必须加上焊锡; 对讲主机、分机及走廊灯的安装设备时必须严格按照产品所附说明书或安装

数电课程设计--病房呼叫系统

数字电子技术课程设计报告 班级:09202 ;姓名:sky123going;学号09202002 设计题目:病房呼叫系统 一、题目及要求 某医院有一、二、三、四号病室,每个房间装有呼叫按钮,同时在护士值班室内有相应的显示电路,即能看到是哪个病室呼叫。 现要求:一号病室的呼叫优先权最高,四号病室最低,试用74LS148和门电路设计满足上述要求的组合逻辑电路,并要求设计出显示单元电路,即显示病室房间号。 二、功能描述: 1、呼叫功能:四个病室每个病室都装有一个呼叫按钮,当病室有需要时,可以通过呼叫按钮进行呼叫 2、显示功能:在护士值班室内有相应的显示电路,可以看到是哪个病室在呼叫 3、优先权:四个病室呼叫具有优先权,其中一号病室优先权最高,四号病室优先权最低。只要有一号病室呼叫时,不管其他三个病室是否呼叫,显示电路均显示一号病室;一号病室不呼叫时,只要有二号病室呼叫,不管三号、四号是否呼叫,显示电路均显示二号病室……依次类推。优先权的功能是由74LS148芯片实现的。 二、方案设计: 1.总体设计思路(含电路原理框图):

设计思路: 以四号病室呼叫为例: 当只有四号病室呼叫时,要使显示单元电路显示“4”,则74LS47芯片二进制输入DCBA应为0100,即74LS148芯片输出A2A1A0为100(其中74LS47的D端可以由74LS148的GS端来控制),由74LS148真值表可知,其输入信号01234567应为***01111,即四号病室呼叫按钮应该接在74LS148输入端的“3”管脚。 同理,三号、二号、一号病室呼叫按钮应分别接在74LS148输入端的“4”、“5”、“6”管脚,:“7”输入端应始终接高电平。 而当四个病室都不呼叫时,数码管应不显示数字,此时DCBA为1111,D 由GS控制,即GS、A0、A1、A2都为高电平,要达到这样的要求,须使74LS148的“012”均接高电平。 为保证芯片正常工作,74LS148的E1、GND均接地,74LS47的LT’、BI\RBO’、RBI’接高电平,GND接低电平。 电路的原理框图如下图所示:

病房呼叫系统

病房呼叫系统 一.设计题目:病房呼叫系统 二.设计要求:1.有6间病房,当1号房的按钮按下时,无论其它病房是否按下,值班室的数码显示“1”,即1号房的优先级别最高,其它病房的优先级别依次递减,5号房的优先级别最低。当6个病房中有若干个病房的开关按下,在数码显示管上只显示相对优先级别高的病房,同时,有呼叫的病房门口的指示灯闪烁。 2.护士按数码显示的病房号处理完毕后,关闭该病房的呼叫开关,此时病房 门口的指示灯停止闪烁,并熄灭。护士站的数码显示当前还没有处理相对级别高的病房号,护士按数码指示号处理病房,如此循环。 3.全部处理完毕后,即没有病房呼叫时,值班数码显示“0”。 三.设计思路: 系统总体方案的确定,由题目的要求可知,该系统主要实现6个房间的优先级别,并且5号房和6号房的优先级别要有一点小的调整,按照优先级别传送优先级别最高的呼叫病房号到护士站的数码显示器上,故可以分为3大子系统: 1.病房优先级别识别系统 2.护士值班室数码显示系统 3.病房门口指示灯闪烁系统 电路总方框图如下:Array 四.设计过程: 1.病床呼叫优先识别系统: 由于本设计需要对6个房间的呼叫级别进行优先编码,6个房间的优先顺序为1,2,3,4,6,5,采用8线3线优先编码器74LS148最合适,74LS148数据输入端(D0——D7),3个数据输出端(A0——A2),1个使能端(E1,低电平有效),2个输出端(Gs,E0)其功能表如 下图:

优先编码系统如下: 图1-1 其中,当开关拨开是,该系统开始工作,因为该芯片是反码输出,D7的优先级别最高,然后依次递减,6引脚的原码是110,则反码输出001,而001所对应的十进制刚好是1,所以就把1号房间接在6引脚上,依次类推,到了5号病和6号病房,由于6号病房的优先级别比5号房的优先级别高,所以要先接6号病房,芯片的1引脚输出的十进制数刚好是6,5号病房接在D0上,输出的是111,然而我们想要的结果是101,所以要在后面添加一个控制门来把111转化成101又不影响其它房间的输出,通过观察芯片的输出,发现前面5个房间

相关文档
相关文档 最新文档