文档库 最新最全的文档下载
当前位置:文档库 › MAX+PLUSⅡ CH2

MAX+PLUSⅡ CH2

MAX+PLUSⅡ CH2

MAX+PLUSⅡ CH2

maxplus2简明教程一

第一章 MAX+plus II开发软件A1tera公司的MAX十plus II开发系统是一个完全集成化、易学易用的可编程逻辑设 计环境,它可以在多种平台上运行。MAX十plus是Multiple array matrix and programmable logic user system的缩写,目前已发行到了第10.1版本。它所提供的灵活性和高效性是无可比拟的,其丰富的图形界面,辅之以完整的、可即时访问的在线文档,使设计人员能够轻松、愉快地掌握和使用Max十plus II软件。 1.1MAX+plus II功能特点 1、开放的界面 Altera的工作与EDA厂家紧密结合,使MAX+plus II软件可与其它工业标准的设计输入、综合与校验工具相连接。设计人员可以使用A1tera或标准EDA设计输入工具来建立逻辑设计,使用MAX十plus II编译器(Compiler)对A1tera器件设计进行编译,并使用A1tera 或其它EDA校验工具进行器件或板级仿真。目前,Max十plus II支持与Cadence、Exemplarlogic、MentorGraphics、Synopsys、Synplicity、Viewlogic和其它公司所提供的EDA 工具的接口。 2、与结构无关 MAX十plus II系统的核心Compiler支持Altera公司的ACEX1K、FLEXl0K、FLEX 8000、FLEX 6000、Max 9000、Max 7000、Max 5000和C1assic可编程逻辑器件系列,提供了业界唯一真正与结构无关的可编程逻辑设计环境。MAX十plus II的编译器还提供了强大的逻辑综合与优化功能,使用户比较容易地将其设计集成到器件中。 3、多平台 Max十plus II软件可在基于486、奔腾PC机的Windows NT 3.51或4.0、Windows 95、Windows 98、Windows2000下运行,也可在Sun SPAC Stations,HP 9000Series 700/800和IBM RISC System/6000工作站上运行。 4、完全集成化 MAX十plus II的设计输入、编译与仿真功能全部集成在统一的开发环境下,这样可以加快动态调试,缩短开发周期。 5、丰富的设计库 MAX十plus II提供丰富的库单元供设计者调用,其中包括74系列的全部器件和多种特殊的逻辑宏功能(Macro-Function)以及新型的参数化的宏功能(Mage-Mnction)。调用库单元进行设计,可以大大减轻设计人员的工作量,也可成倍地缩短设计周期。 6、模块化工具 设计人员可以从各种设计输入、编译和仿真选项中进行选择,从而使设计环境用户化,必要时,还可根据需要添加新功能。由于MAX十plus II支持各种器件系列,设计人员不必学习新工具即可支持新结构。 7、硬件描述语言(HDL) Max + plus II软件支持各种HDL设计输入选项,包括VHDL、Verilog HDL和Altera 自己的硬件描述语言AHDL。 8、Megacore功能 Megacore功能是为复杂的系统级功能提供的,经过校验的HDL网表文件,它能使ACEX1K、FLEX10K、FLEX 8000、FLEX 6000、MAX 9000和MAX 7000器件系列实现

基于MAXPLUSii的数字钟实验(含源程序代码)

源程序与仿真图 CNT24 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt24 is port(clk,rst:in std_logic; co:out std_logic; qh,ql :out std_logic_vector(3 downto 0)); end cnt24; architecture behave of cnt24 is signal tmpl,tmph:std_logic_vector(3 downto 0); begin process(rst,clk) begin if(rst='1') then tmpl<="0000";tmph<="0000";co<='0'; elsif(clk'event and clk='1')then if(tmpl=9)then tmpl<="0000";tmph<=tmph+1; else tmpl<=tmpl+1; if(tmph=2) then if(tmpl=3) then tmpl<="0000";tmph<="0000";co<='1'; else tmpl<=tmpl+1;co<='0'; end if; end if; end if; end if; ql<=tmpl; qh<=tmph; end process; end behave; CNT60 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt60 is port(clk,rst:in std_logic; co:out std_logic; qh,ql :out std_logic_vector(3 downto 0)); end cnt60; architecture behave of cnt60 is signal tmpl,tmph:std_logic_vector(3 downto 0); begin process(rst,clk) begin if(rst='1') then tmpl<="0000";tmph<="0000";co<='0'; elsif(clk'event and clk='1')then if(tmpl=9)then tmpl<="0000"; if(tmph=5) then tmph<="0000";co<='1'; else tmph<=tmph+1;co<='0'; end if; else tmpl<=tmpl+1; co<='0'; end if; end if; ql<=tmpl; qh<=tmph; end process; end behave; DIV1000 Library IEEE; Use IEEE.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Use IEEE.std_logic_arith.all; Entity div1000 is Port( clk: in std_logic;--from system clock(1KHz) f1hz: out std_logic);-- 1Hz output signal end div1000; architecture arch of div1000 is signal count : integer range 0 to 499;--count from 0 to 499-local si signal temp:std_logic; begin

MAX+plusII的基本用法

实验一MAX+plusII的基本用法 一、实验目的 掌握MAX+plusII的基本用法,了解EDA的设计流程。 二、实验步骤 建立和编辑一个VHDL或者硬件描述语言的工程文件,是数字系统或逻辑电路设计的第一步。下面以8—3译码器设计为例,叙述一下在MAX+ plusII系统中如何来完成一个工程文件的步骤,了解软件的基本使用方法。 1、建立工程目录 建立一个工程目录的主要目的是为了便于管理在系统编译、综合的过程中产生的许多临时文件和附属文件。在Windows操作系统中你想存放该工程的位置建立如:D:\max\test1\等工程目录来存放这个工程所生成的所有文件。通过Windows系统的“开始”菜单进入MAX+plusII集成环境。如图1—1所示: 图1—1 2、建立一个新文件 在图3—1点击“File”选项,如果输入的是新文件,点击子菜单中的“New”出现如 图3—2所示的对话框。在对话框内可供选择的4种编辑 方式为:Graphic Editor file为图形编辑;Symbol Editor file 为符号编辑;Text Editor file为文本编辑;Wavetorm Editor file为波形编辑。 如果用VHDL语言来编辑文件则选择文本编辑, 选取图1—2所示第三项,当该项字符被虚线框框住,并 在该项前面的圆圈中出现一个实心点时,表时该项已被选 中,点击“OK”系统将进入文本编辑状态,屏幕将会出现图1—2 如图1—3所示的编辑窗口。 图1—3

在图3—3所示的编辑窗口中输入你编写的程序,如下列的一串VHDL语句: LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL; ENTITY T1 IS PORT( S: IN STD_LOGIC_VECTOR(7 DOWNTO 0); Y: OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END T1; ARCHITECTURE A OF T1 IS BEGIN WITH S SELECT Y <= "0000" WHEN "11111110", "0001" WHEN "11111101", "0010" WHEN "11111011", "0011" WHEN "11110111", "0100" WHEN "11101111", "0101" WHEN "11011111", "0110" WHEN "10111111", "0111" WHEN "01111111", "1000" WHEN OTHERS; END A; 对程序进行保存,点击图1—1中图标第 三项,或者点击“File”菜单中的“Save”图1-4 或“Save as”会弹出Save as对话框如图1—4所示。将文件保存为t1.vhd,其所在目录 为以前所设置的工程目录。由VHDL语言的 设定,文件名必须与程序内容里的文件名 一致。 如果想对文件进行修改、编辑或一个文件 已经存在对其进行编辑、修改,可以通过“File” 菜单中的“Open”子菜单会出现如图1—5所 示的对话框选取想修改、编辑的文件进行操 作。 3、选取芯片型号 由于编译、综合结果要生成适用于写可编程器 件的文件,所以在编译以前应选定最终要下载 的芯片型号。在MAX+plusII的工具中,可以 支持的下载芯片有多种,根据所设计的逻辑电 路规模,用户可以自由地进行选择。图1—5 点击主菜单的Assign选项,再点击子菜单中的Device项得到Device对话框,如图1—6所示,利用Device Family框中的上移和下移标志,找到适用的芯片系列。在Device框中选择所需用的芯片型号,点击“OK”确定。图1—6选取的是FLEX10K10系列中的EPF10K10LC84-4。

maxplus2中常用元器件列表

1 打开图形编辑器(graphic editor),双击窗口,就可出现元件选项下拉菜单;在 c:\windows\temporary internet files 中,保存有用户自己编制的元件(实 体); c:\maxplus2\max2lib\prim中,有各类基本门电路及输入/输出端口; c:\maxplus2\max2lib\mf中,有74系列各类数字集成电路 c:\maxplus2\max2lib\mega_lpm中为可调参数库,包括参数化模块,功能 复杂的高级功能模块,如可调模值的计数器,FIFO,RAM等 c:\maxplus2\max2lib\edif中元件的功能和mf库类似 现将常用的prim和mf库中的主要元件罗列如下: c:\maxplus2\max2lib\prim and12 and2 and3 and4 and6 and8 一般与门 band12 band2 band3 band4 band6 band8 输入反向与门 = 或非门 bdir bdirc 双向端口、可控双向端口 bnand12 bnand2 bnand3 bnand4 bnand6 bnand8 输入反向与非门 = 或门 bnor12 bnor2 bnor3 bnor4 bnor6 bnor8 输入反向或非 门 = 与门 bor12 bor2 bor3 bor4 bor6 bor8 输入反向或门 = 与非门

dff dffe 带低电平置位/清零的D触发器,后者带使能端 gnd 接地 input inputc 输入端口 jkff jkffe 带低电平置位/清零的JK触发器,后者带使能端latch D锁存器 nand12 nand2 nand3 nand4 nand6 nand8 与非门nor12 nor2 nor3 nor4 nor6 nor8 或非门 not 反相器 or12 or2 or3 or4 or6 or8 或门 output outputc 输出端口 param 参量 srff srffe 带低电平置位/清零的RS触发器,后者带使能端 tff tffe 带低电平置位/清零的t触发器,后者带使能端 title 标题框 tri 三态门 vcc 正电源 xnor xor 同或、异或 c:\maxplus2\max2lib\mf

maxPLUS2 设计步骤

设计一般步骤 步骤1:为本项工程设计建立文件夹(自己的U盘且不是根目录); 注意:文件夹名以字母开头不能用中文,且不可带空格。 步骤2:新建设计文件并指向项目 1)菜单File=>New =>对话框中选择=>文本输入(扩展名.vhd)或原理图输入(扩展名.gdf)然后命名(以字母开头不能用中文,且不可带空格); 2)工程项目指向当前的设计文件:菜单File=>Project=>Set Project To Current File 然后选择此项; 步骤3:选择实现器件,放置原理图器件、连线 1)根据所用的实现器件型号(芯片背面)选择:菜单Assign => Device => 对话框中去掉“Show Only Fatest Speed Grades”复选框,实验室所用芯片为EP1K100QC208-3,所以,在DEVICE FAMILY 中选择ACEX1K ,DEVICE 中选择EP1K100QC208-3,选择后点击OK。 2)在原理图编辑界面,空白处右击鼠标=>选Enter Symbol 在出现的对话框中Symbol Name处输入器件代码或打开Symbol Libraries库中mf目录查找器件代码,所选择芯片出现在原理图编辑界面空白处,调整好位置和方向(Windows文档处理方法)。依此放置其他器件:输入管脚input,输出管脚output(需要重新命名:双击管脚再重命名):电源正极Vcc,电源地Gnd等。 3)鼠标左键点击连线起点,拖动到连线终点即可连接,中途改变方向需要在拐弯处松开鼠标左键一次,再拖动连线。 步骤4:编译并纠错 菜单MAX+PlusⅡ=>Compailer编译,有错误时,会出现在信息框中,纠错后再编译直到在编译信息中显示0 Error,有部分Warning不影响编译成功。 步骤5:锁定引脚(该步可省略,由EDA自动分配,但重编译后管脚号可能变动) 菜单Assign=> PIN/Location/Chip,出现的对话框中Node Name栏中直接输入管脚名或单击SEARCH按钮=>出现的对话框中单击LIST按钮=>在NAME IN Database列表中选择欲锁定的端口名,点击OK返回前一对话框。CHIP RESOURSE栏中,选PIN,在左侧下拉列表中点击黑三角选择管脚号,点击ADD按钮,重复以上过程锁定所有引脚,最后点击OK完成锁定。还可以用此步骤改变引脚号。 步骤6:建立仿真文件并设置仿真环境(自信功能正确,步骤6~8可以省去)

Maxplus Ⅱ基本操作方法

附录:Maxplus Ⅱ基本操作方法 ——图形输入法 利用EDA工具进行原理图输入设计的优点是,设计者能利用原有的电路知识迅速入门,完成较大规模的电路系统设计,而不必具备许多诸如编程技术、硬件语言等新知识。 MAX+plusII提供了功能强大,直观便捷和操作灵活的原理图输入设计功能,同时还配备了适用于各种需要的元件库,其中包含基本逻辑元件库(如与非门、反向器、D触发器等)、宏功能元件(包含了几乎所有74系列的器件),以及功能强大,性能良好的类似于IP Core的巨功能块LPM 库。但更为重要的是,MAX+plusII还提供了原理图输入多层次设计功能,使得用户能设计更大规模的电路系统,以及使用方便精度良好的时序仿真器。以传统的数字电路实验相比为例,MAX+plusII提供原理图输入设计功能具有显著的优势: ?能进行任意层次的数字系统设计。传统的数字电路实验只能完成单一层次的设计,使得设计者无法了解和实现多层次的硬件数字系统设计; ?对系统中的任一层次,或任一元件的功能能进行精确的时序仿真,精度达0.1ns ,因此能发现一切对系统可能产生不良影响的竞争冒险现象; ?通过时序仿真,能对迅速定位电路系统的错误所在,并随时纠正; ?能对设计方案作随时更改,并储存入档设计过程中所有的电路和测试文件; ?通过编译和编程下载,能在FPGA或CPLD上对设计项目随时进行硬件测试验证。 ?如果使用FPGA和配置编程方式,将不会有如何器件损坏和损耗; ?符合现代电子设计技术规范。传统的数字电路实验利用手工连线的方法完成元件连接,容易对学习者产生误导,以为只要将元件间的引脚用引线按电路图连上即可,而不必顾及引线的长短、粗细、弯曲方式、可能产生的分布电感和电容效应以及电磁兼容性等等十分重要的问题。 以下将以一位全加器的设计为例详细介绍原理图输入设计方法,但应该更多地关注设计流程,因为除了最初的图形编辑输入外,其它处理流程都与文本(如VHDL文件)输入设计完全一致。

CH2 物理层习题

CH2 物理层习题 2.1 典型习题与分析 【1】假定以2400bps 的速率在一条线路上发送10,000字节的文件. a. 计算采用异步通信方式时在比特和时间上的开销。假定发送每个符号时1位开始 位,1位停止位和8比特的数据位,其中数据位中不包含校验位。 b. 计算采用同步通信方式时在比特和时间上的开销。假定数据是以帧的方式发送, 每帧包含1000个字符=8000比特,同时有48比特的控制位开销。 c. 如果发送100000个字符的文件,上述a, b 的答案又是什么? d. 如果以9600bps 的速度发送100000个字符的文件,上述a, b 的答案又是什么? 解答: (a) 额外开销率=%201 1811=+++,而传输速率为2400b/s ,所以传输时间= 67.41240 10000=s 。 (b) 系统的开销率为 %59.0%10048 800048=?+,所以额外开销为4801048=?比特,因此传输一帧所需的时间为 35.32400 8048 =s ,所以总耗时为5.3335.310=?s 。 (c) 异步、同步额外开销不变。 异步情况下的总耗时为41.67? 10=416.7s ,而同步情况下的总耗时为100?3.35=335s 。 (d) 异步情况下的总耗时为 2.10410 /960010000 =s , 而而同步情况下的总耗时8383.09600 8048=s 。 所以总耗时为83.838383.0100=?s 。 【2】根据RS-232-C 标准,DTE 只有在哪四个电路都处于开状态(ON)的情况下才能发送数据? 解答: (1) 请求发送RTS(针4) (2) 清送CTS(针5) (3) 数据端接装置就绪DSR(针6) (4) 数据终端就绪DTR(针20) 【3】RS232C 接口如何在两个DTE 的直接连接中应用? 解答: 当两个DTE 距离较近(50英尺以内),并且未接DCE 时,可通过采用零调制解调器电缆来使用RS232C 接口。这种连接电缆利用交叉跳变信息线的方法,使得连接在电缆两端的DTE 通过电缆看对方都好像是DCE 一样,从而满足RS232C 接口的要求。零调制解调器电缆中,发送数据的插脚2和接收数据的插脚3交叉相连;插脚1和插脚7是接地信号,可直接连接在一起;插脚6、8和20被连接或跨接在一起,这样只要任何一个信号被激活,其它

MAX+PLUS_II快速入门

数字电子技术综合实验 ---------MAX+PLUS II快速入门 MAX+PLUS II是Altera公司的全集成化可编程逻辑设计环境。它的界面友好,在线帮助完备,初学者也可以很快学习掌握。完成高性能的设计。另外,在进行原理图输入时,可以直接放置74系列逻辑芯片,所以对于普通爱好者来说,即使不使用Altera的可编程器件,也可以把MAX+PLUS II作为逻辑仿真工具,不用搭建硬件电路,即可对自己的设计进行调试,验证。下面以具体实例介绍MAX+PLUS II V10.0的使用。 功能 ?MAX+PLUS II的编译核心支持Altera的FLEX 10K、FLEX 8K、MAX9000、MAX7000、FLASHlogic、MAX5000、Classic系列可编程逻辑器件; ?MAX+PLUS II的设计输入、处理与校验功能一起提供了全集成化的一套可编程逻辑开发工具,可加快动态调试,缩短开发周期; ?MAX+PLUS II支持各种HDL设计输入,包括VHDL、V erilog和Altera的AHDL; ?MAX+PLUS II可与其他工业标准设计输入、综合与校验工具链接。与CAE工具的接口符合EDIF200和209、参数化模块库(LPM)、V erilog、VHDL及其它标准。 设计者可使用Altera或标准CAE设计输入工具去建立逻辑设计,使用MAX+PLUS II编译器对Altera器件设计进行编译,并使用Altera或其它CAE校验工具进行器 件或板级仿真。MAX+PLUS II支持与Synopsys、Viewlogic、Mentor Graphics、Cadence、Exemplar、Data I/O、Intergraph、Minc、OrCAD等公司提供的工具接口;

Maxplus_Ⅱ基本操作方法

附录:Maxplus n基本操作方法 图形输入法 利用EDA工具进行原理图输入设计的优点是,设计者能利用原有的电路知识迅速入门,完成较大规模的电路系统设计,而不必具备许多诸如编程技术、硬件语言等新知识。 MAX+plusll提供了功能强大,直观便捷和操作灵活的原理图输入设计功能,同时还配备了适用于各种需要的元件库,其中包含基本逻辑元件库(如与非门、反向器、D触发器等)、宏功能元件(包含了几乎所有74系列的器件),以及功能强大,性能良好的类似于IP Core的巨功能块LPM库。但更为重要的是,MAX+plusll还提供了原理图输入多层次设计功能,使得用户能设计更大规模的电路系统,以及使用方便精度良好的时序仿真器。以传统的数字电路实验相比为例,MAX+plusIl提供原理图输入设计功能具有显著的优势: *能进行任意层次的数字系统设计。传统的数字电路实验只能完成单一层次的设计,使得设计者无法了解和实现多层次的硬件数字系统设计; *对系统中的任一层次,或任一元件的功能能进行精确的时序仿真,精度达0.1 ns,因此能发现一切对系统可能产生不良影响的竞争冒险现象; 通过时序仿真,能对迅速定位电路系统的错误所在,并随时纠正; *能对设计方案作随时更改,并储存入档设计过程中所有的电路和测试文件; ?通过编译和编程下载,能在FPGA或CPLD上对设计项目随时进行硬件测试验证。 ?如果使用FPGA和配置编程方式,将不会有如何器件损坏和损耗; 符合现代电子设计技术规范。传统的数字电路实验利用手工连线的方法完成元件连接,容易对学习者产生误导,以为只要将元件间 的引脚用引线按电路图连上即可,而不必顾及引线的长短、粗细、弯曲方式、可能产生的分布电感和电容效应以及电磁兼容性等等十分重要的问题。

Maxplus II 指导

Max Plus II 操作指导 汪原 计算机与通信学院实验中心 2008年11月

前言 Maxplus II 是目前比较流行的数字系统设计软件,由Altera公司根据他们的可编程硬件产品FPGA/CPLD开发出来的集成设计平台, 该软件的功能有:逻辑电路设计、电路输入输出仿真、自动完成逻辑电路编程,并将编程代码下载到FPGA/CPLD硬件中,实现了灵活的电路设计,就可获得可靠的,且满足设计要求的逻辑器件。 注释: FPGA —— Field Programmable Gate Array,现场可编程门阵列。 CPLD —— Complex Programmable Logic Device,复杂可编程逻辑器件。 Altera公司的FPGA/CPLD有以下系列:Classic、ACEX1K、MAX5000、MAX7000、MAX9000、FLEX6000、FLEX8000、FLEX10K、FLASHLogic等。在Maxplus II的Device列表中包含了以上系列的器件。 实验任务: 1、在图形编辑区通过逻辑图设计逻辑电路,再利用波形编辑区进行逻辑功能仿真,以此验证电路的逻辑功能是否正确。 2、在文本编辑区使用VHDL硬件描述语言设计逻辑电路,再利用波形编辑区进行逻辑功能仿真,以此验证 目录 第一章Maxplus II的安装 第二章介绍Maxplus II §2.1 工作主界面 §2.2 逻辑设计编辑区 §2.3 内附逻辑函数库 §2.4 工具栏 第三章或非门NOR设计范例 §3.1 使用逻辑图设计NOR §3.2 使用VHDL设计NOR

第一章Maxplus II的安装 一、Maxplus II V10.1 安装软件包括以下文件: 二、安装流程:打开→打开→双击→进入安装 安装结束后, 在目标地址产生三个 文件夹, 进入Maxplus工作界面的图标放在Maxplus2文件夹中,你也可以从Windows开始菜单 栏上的图标进入工作界面,但要进入编辑区时却被一条信息拦阻,因为需要安装软件运行执照(License)。 三、执照(License)安装:合法的安装途径是到www . altera.com注册下载。 给同学们提供的安装软件携带了License文件,它放在补丁文件夹中。可以用两种方法安装License: 一种是将补丁 中的文件复制到 Maxplus2文件夹 中,双击Updata to 10.12文件夹内的图标和图标以激活编辑器。 另一种是从工作主界面的菜单栏,如图1-1所示,进入Options(选择)下拉菜单,选取License setup…,打开License setup对话框,如图1-2所示,由Browse找到License所在的路径,加入到License File or Server Name 输入框中,点击OK即可。

MAXPLUS2基本元件库

MAXPLUS2基本元件库 打开图形编辑器(graphic editor),双击窗口,就可出现元件选项下拉菜单; 在c:\windows\temporary internet files 中,保存有用户自己编制的元件(实体); 在c:\maxplus2\max2lib\prim中,有各类基本门电路及输入/输出端口; c:\maxplus2\max2lib\mf中,有74系列各类数字集成电路 c:\maxplus2\max2lib\mega_lpm中为可调参数库,包括参数化模块,功能复杂的高级功能模块,如可调模值的计数器,FIFO,RAM等 c:\maxplus2\max2lib\edif中元件的功能和mf库类似 现将常用的prim和mf库中的主要元件罗列如下: c:\maxplus2\max2lib\prim and12 and2 and3 and4 and6 and8 一般与门 band12 band2 band3 band4 band6 band8 输入反向与门= 或非门 bdir bdirc 双向端口、可控双向端口 bnand12 bnand2 bnand3 bnand4 bnand6 bnand8 输入反向与非门= 或门 bnor12 bnor2 bnor3 bnor4 bnor6 bnor8 输入反向或非门= 与门 bor12 bor2 bor3 bor4 bor6 bor8 输入反向或门= 与非门 carry cascade globol exp icell mcell opndrn sclk soft wire constant 常量gnd 接地latch D锁存器 dff dffe 带低电平置位/清零的D触发器,后者带使能端 input inputc 输入端口 jkff jkffe 带低电平置位/清零的JK触发器,后者带使能端 nand12 nand2 nand3 nand4 nand6 nand8 与非门 nor12 nor2 nor3 nor4 nor6 nor8 或非门 not 反相器 or12 or2 or3 or4 or6 or8 或门 output outputc 输出端口param 参量 srff srffe 带低电平置位/清零的RS触发器,后者带使能端 tff tffe 带低电平置位/清零的t触发器,后者带使能端 title 标题框tri 三态门vcc 正电源 xnor xor 同或、异或 c:\maxplus2\max2lib\mf 161mux 16选1 * 81mux 16cudslr 16cudslr 16位计数/移位* 16dmux 16ndux 4-16 译码器* 21mux 2选1 * 2x8mux 8位2选1 * 4count 4位计数器* 74系列电路的分类: “74”指的是商用器件,“54”是军用的,它们的适用温度范围不同,军用的范围大。商用:0℃~70℃;军用:-55℃~125℃。 LS等是子系列的名称。L:低功耗H:高速S:肖特基LS:低功耗消特基ALS:先进低功耗肖特基AS:先进肖特基LS:低功耗消特基 简单门电路 7400 4nand2 7402 4nor2 7404 6not 7408 4and 7410 3nand3

Maxplus软件的基本操作实验报告

实验一Maxplus软件的基本操作 一、实验目的 1.熟悉Maxplus软件的基本操作,了解各种设计方法(原理图设计、文本设计、波形设计) 2.用逻辑图和VHDL语言设计一个异或门。 二、实验内容 1.用VHDL语言设计一个异或门 2.用Maxplus软件仿真波形图 3.设计芯片 三、实验方法 1.启动Maxplus II 2.新建一个文本编辑文件,输入异或设计的VHDL语言 3.编译。点击file→save as,保存文件名为entity名称,扩展名为vhd,选择芯片类型为EPF10K20TI144-4,保存并编译,出现0 error,0 warnings则编译通过。 4.仿真波形。点Max+plus II→Waveform editor,出现波形图的设置界面,然后点Node→Enter Nodes from SNF→list,将输入输出端添加到界面,并设置其周期和输入波形,保存后,点Max+plus II→Simulator,即可仿真出输出的波形。 5.设计芯片。点Max+plus II→Floorplan editor,将Unassigned Nodes & 栏中,电路的输入输出节点标号直接用鼠标“拖到” 想分配的引脚上 (a:88,b:89,c:12),点Max+plus II→programmer→configuer,然后就可以操作试验箱,观察异或门的工作情况。 四、实验过程 异或门(XOR) 用途:异或门是一种用途广泛的门电路。典型应用是作为加法器的单元电路。

逻辑图 真值表 A B OUT 0 0 0 0 1 1 1 0 1 1 1 0 VHDL程序 数据流描述: 波形图 芯片引脚分配

Max+plusⅡ操作简介(主要)

Max+plusⅡ系统的操作简介 Max+plusⅡ开发工具是美国Altera公司自行设计的一种软件工具,其全称为Multiple Array Matrix and Programmable Logic User System。它具有原理图输入和文本输入(采用硬件描述语言)两种输入手段,利用该工具所配备的编辑、编译、仿真、综合、芯片编程等功能,将设计电路图或电路描述程序变成基本的逻辑单元写入到可编程的芯片中(如FPGA芯片),作成ASIC芯片。它是EDA设计中不可缺少的一种工具。 通过一个简单的二输入与门电路设计范例介绍:利用Max+plusⅡ系统 (1)如何编写VHDL程序(使用Text Editor); (2)如何编译VHDL程序(使用Compiler); (3)如何仿真验证VHDL程序(使用Waveform Editor,Simulator); (1)建立和编写一个VHDL语言的工程文件 首先启动Max+plusⅡ系统,启动后系统进入主菜单画面,在主菜单上有5个选项,分别是:Max+plusⅡ、File、Assign、Options和Help。 Max+plusⅡ系统主窗口 (a)打开文本编辑器;用鼠标点击File选项,点击子菜单中的New选项,接着屏幕会出现New的对话框。在对话框内有4种编辑方式:图形编辑、符号编辑、文本编辑和波形编辑。VHDL文件属于文本,那么应该选择文本编辑方式,点击OK按钮,屏幕上将出现一个无名的编辑窗口,则系统进入文本编辑状态。(或用鼠标点击Max+plusⅡ选项,点击子菜单中Text Editor选项.。)

打开文本编辑器 (b)在编辑窗口中进行编辑输入,输入相应的描述语句。 文本编辑窗口中编辑输入[例1] 实现2输入与门的VHDL描述 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY and2 IS PORT(a, b : IN STD_LOGIC; y: OUT STD_LOGIC); END and2; ARCHITECTURE one OF and2 IS BEGIN y<= a and b; END one;

maxplus安装说明

4.1 MAX+plusⅡ软件安装 数字系统的设计离不开EDA软件。现在有多种支持可编程逻辑器件的开发软件,可分为两类:一类是由芯片制造商提供的,如Altera公司开发的MAX+plusⅡ和QuartusⅡ软件包、Xilinx公司开发的Foundation软件包;另一类是由专业EDA软件商提供的,也称为第三方设计软件,较为著名的有Cadence、Mental、Synopsys等。芯片商提供的软件比较适合自己的产品,第三方软件往往能够开发多家公司的器件,但需要芯片商提供器件库和适配器软件。由于MAX+plusⅡ和QuartusⅡ软件包在国内十分流行,而且Altera公司非常支持教育,专门为广大学生和科研人员提供用于学习的开发软件和硬件产品,因此本书以讲解Altera公司的软件为主。 MAX+plusⅡ软件包是Altera公司开发较早的一款软件,其界面友好,集成化程度高、易学、易用,深受业界人士好评。MAX+plusⅡ配备了适用于各种需要的元件库,包括基本逻辑元件库(如逻辑门、D触发器和JK触发器等)和宏功能元件(几乎包含所有74系列的芯片),非常适合初学者学习,直接输入元件符号,连接成电路,就可仿真出结果,而无需精通器件内部的复杂结构,MAX+plusⅡ能将这些设计转换成系统所需要的格式并自动优化。MAX+plusⅡ所支持的Altera公司器件有:CLASSIC、MAX3000A、MAX6000、MAX 7000、MAX 7000E、MAX 7000S、MAX 7000AE、MAX 7000B、MAX 8000、MAX 9000、FLEX10K、FLEX10KA、FLEX10KE和ACEX1K系列。 4.1.1 安装 Altera公司的MAX+plusⅡ10.2 BASELINE(教育版)软件可以在代理商处获得光盘,也可以到Altera公司的网站上下载,网址是http://www.altera.com。其安装步骤如下:1)启动安装向导:运行setup.exe,出现图4-1所示界面。 图4-1 安装准备 单击【Next>】按钮,出现另一个窗口,如图4-2所示。

maxplus实验步骤

1、建立文件夹(中文目录禁止) 2、建立新的项目: File→New→Graphic Editor File(图形) / Text Editor File(文本) /Waveform Editor File(波形仿真) 3、设计输入: 图形方式:单击右键(或双击空白区域)→Enter S ymbol→选择图元路经(prim:基本门电路、输入输出(input、output)、触发器;mf:74系列;lpm:参数可设置) 文本方式:(VHDL) 备注:图形文件中总线命名原则A[n-1..0],与总线端口连接的实线应为粗线。 4、保存文件: 保存在自建的文件夹下→(图形文件:命名不能与被调用模块名字相同,后缀名gdf;文本文件:命名与实体名字相同,后缀名vhd) 5、指定项目路径: File→Project→Set Project to Current File(检察项目路径是否为当前文件路径)6、打开编译器 MAX+plusII→Compiler→(功能仿真:Processing→Functional SNF Extractor;时间仿真:Processing→Timing SNF Extractor) 7、项目编译:点击编译器中Start按钮。 8、错误修改:(查找错误信息,观看错误信息说明,双击红色错误信息,自动定位错误所在位置左右;如有多个错误出现,必须从第一个错误改起)。 9、建立仿真波形文件:File→New→Waveform Editor File 10、引入仿真节点:Node→Enter Nodes From SNF→点击List→“=〉”将左侧仿真节点拉到右侧→Ok 11、输入节点加信号激励: 设置仿真结束时间File→End Time根据仿真项目复杂程度设置,一般项目可设置为10us; 选择输入节点→从左侧选取信号激励(如果给某一段时间区域内加激励,可用鼠标左键按住选中一段时间加激励)

MAX+plus2的安装与下载

Part I--ALTERA MAXPLUS II軟體使用操作 單元<一>軟體的安裝與基本操作使用 1.1 ALTERA MAX+plus II軟體介紹 1.2 ALTERA MAX+plus II軟體的下載及安裝 1.3 取得本機電腦的硬碟序號 1.4 ALTERA MAX+plus II軟體的下載 1.5 ALTERA MAXplus II軟體的使用註冊申請 1.6 ALTERA MAX+plus II軟體基本使用說明(getting start tutorial)及演練1.7 實驗單元 LAB1:輸入各種邏輯閘 LAB2:三人投票機電路 LAB3:disbounce電路 ----------------------------------------------------------------------------------- 1.1 ALTERA MAX+plus II軟體介紹

1.2 ALTERA MAX+plus II軟體的下載及安裝 要安裝ALTERA MAX+plus II軟體最方便快速的方式是執行本書所附的光碟片上的軟體安裝程式,本書所提供的為MAX+plus II Baseline 10.2版軟體,請依照下列步驟進行軟體安裝。 Step1.首先將光碟放置於光碟機中,電腦會自動啟動安裝程式,其畫面如下圖,若安裝程式未自動啟動時可直接執行光碟片中的autorun.exe程式亦可進行MAX+plus II Baseline 10.2版軟體安裝(桌面=>【我的電腦】=>檢視光碟檔案=>以滑鼠左鍵連續點選autoexec.exe或baseline10_2.exe執行軟體安裝程式),這套軟體亦可從ALTERA公司的網站下載(http://www.altera.com/),下載的詳細過程可參照下ㄧ小節的說明。 Step 2.開始進行安裝的畫面如下 Step 3. 歡迎安裝的畫面,並且建議在進行下ㄧ步驟前關閉所有其他視窗程式,在本畫面中有禁止未授權的軟體重製或散佈的警告訊息,所以在未授權下切勿將軟體重製或散佈,以免觸法。點選【Next】進行軟體安裝,若中斷安裝可點選【Cancel】取消安裝。

MAXplusⅡ操作指南

4.1 Altera MAX+plusⅡ操作指南 Alter公司的MAX+plusⅡ是一个高度集成化的可编程逻辑器件开发系统,可以开发Alter公司的MAX系列和FLEX系列的可编程器件。该系统的主要特点如下: ①支持多种设计输入方式。如:原理图、VHDL语言、AHDL语言、波形图等。同时还支持主流的第三方EDA工具,如:Synopsys、Cadence、ViewLogic、Synplicity、Mentor和Model Technology等等。 ②提供完善的功能仿真,同时还提供精确的时间仿真。 ③该系统把设计输入、功能仿真、时间仿真、设计编译以及器件编程集成于统一的开发环境下,从而更方便、更快捷。 ④ MAX+plusⅡ开发系统还具有编译快捷,设计实现优化的功能。 4.1.1 MAX+plusⅡ10.2的安装 1)安装MAX+PLUSII10.2的软硬件要求 MAX+PLUSII PC版可以在以下几种操作系统下运行:Windows NT 4.0/Windows 98/Windows 2000/Windows XP。表4.1中列出了用于不同器件所需的有效内存。 下面介绍MAX+PLUSII PC版在Windows 98操作系统下的安装过程。若在其他操作系统下安装,可参照此方法操作。 (1)将MAX+PLUSII10.2安装光盘放入光驱,安装窗口将自动出现图4.1所示的界面。 图4.1 MAX+PLUSII 10.2安装界面 你可以选择MAX+PLUSII 10.2安装类型如下:Custom、BASELINE、Programmer Only和ACCESS Key Guidelines。开始安装之前,你还可以阅读Help文件、Read Me文件、License Agreement 文件和ACCESS Key Guidelines。单击任一安装选项,即开始安装。安装过程中按照屏幕上的提示进行操作。 (2)安装好后,双击MAX+plusII图标或在“开始”菜单内选择MAX+plusII项,开始运行MAX+plusII。第一次运行MAX+plusII时,将会出现授权协议对话框,仔细阅读后,按下Yes按钮。 (3)系统若没有安装Software guard(软件狗),则在接受授权协议后,将出现如图4.2所示的MAX+plusII版权保护对话框,若希望查看如何获得License的信息,则单击按钮‘是(Y)’,否则单击按钮‘否(N)’。 4.2 MAX+plusII版权保护对话框 (4) 选择菜单命令Option/License Setup,则弹出License Setup对话框,如图4.3所示。单击System Info 按钮,弹出System Info对话框,记录硬盘的驱动序列号,然后通过访问Altera公司的网站:获得授权文件license.dat。 图4.3 License Setup对话框 (5) 收到Altera公司的授权文件license.dat后,将license.dat复制到MAX+plusII的安装目录,打开MAX+plusII,按照步骤(4)打开License Setup对话框,在“License File or Server Name”对话框中填入license.dat文件的路径及文件名,单击OK按钮。至此,MAX+plusII安装完毕。

相关文档